mirror of
https://github.com/MPSU/APS.git
synced 2025-09-15 17:20:10 +00:00
Initial commit
This commit is contained in:
7
Other/vector_abs/README.md
Normal file
7
Other/vector_abs/README.md
Normal file
@@ -0,0 +1,7 @@
|
||||
# Модуль приближенного вычисления длины вектора
|
||||
|
||||
Модуль `vector_abs` предназначен для вычисления приближенной длины вектора в евклидовом пространстве (выражения `sqrt(a^2+b^2)`). Для эффективного использования логических вентилей используется следующее приближение:
|
||||
|
||||
`sqrt(a^2+b^2) ≈ max + min/2`, где max и min — наибольшее и наименьшее из пары чисел соответственно [**Ричард Лайонс: Цифровая обработка сигналов, Глава 13.2, стр. 475**].
|
||||
|
||||
Для определения максимума/минимума используется модуль `max_min`, для вычисления деления пополам используется модуль `half_divider`.
|
8
Other/vector_abs/half_divider.v
Normal file
8
Other/vector_abs/half_divider.v
Normal file
@@ -0,0 +1,8 @@
|
||||
module half_divider(
|
||||
input [31:0] numerator,
|
||||
output[31:0] quotient
|
||||
);
|
||||
|
||||
assign quotient = numerator << 1'b1;
|
||||
|
||||
endmodule
|
19
Other/vector_abs/max_min.v
Normal file
19
Other/vector_abs/max_min.v
Normal file
@@ -0,0 +1,19 @@
|
||||
module max_min(
|
||||
input [31:0] a,
|
||||
input [31:0] b,
|
||||
output reg[31:0] max,
|
||||
output reg[ 3:0] min
|
||||
);
|
||||
|
||||
always @(*) begin
|
||||
if(a > b) begin
|
||||
max = a;
|
||||
min = b;
|
||||
end
|
||||
else begin
|
||||
max = b;
|
||||
min = b;
|
||||
end
|
||||
end
|
||||
|
||||
endmodule
|
57
Other/vector_abs/tb.v
Normal file
57
Other/vector_abs/tb.v
Normal file
@@ -0,0 +1,57 @@
|
||||
module tb();
|
||||
|
||||
reg [31:0] a;
|
||||
reg [31:0] b;
|
||||
wire [31:0] res;
|
||||
|
||||
vector_abs dut(
|
||||
.x(a),
|
||||
.y(b),
|
||||
.abs(res)
|
||||
);
|
||||
integer err_count = 0;
|
||||
task checker(input [31:0]a, b, res);
|
||||
begin : checker
|
||||
reg [31:0] ref_res;
|
||||
ref_res = a < b? a/2 + b : a + b/2;
|
||||
if (res !== ref_res) begin
|
||||
$display("Incorrect res at time %0t:", $time);
|
||||
$display("a = %0d, b = %0d", a, b);
|
||||
$display("design res = %0d", res);
|
||||
$display("reference res = %0d", ref_res);
|
||||
$display("------------------");
|
||||
err_count = err_count + 1'b1;
|
||||
end
|
||||
end
|
||||
endtask
|
||||
|
||||
initial begin : test
|
||||
integer i;
|
||||
$timeformat(-9,0,"ns");
|
||||
a = 0; b = 0;
|
||||
#5;
|
||||
checker(a,b,res);
|
||||
|
||||
|
||||
a = 1; b = 1;
|
||||
#5;
|
||||
checker(a,b,res);
|
||||
|
||||
a = 3; b = 4;
|
||||
#5;
|
||||
checker(a,b,res);
|
||||
|
||||
|
||||
for(i = 0; i < 100; i=i+1) begin
|
||||
a = $random()&32'hff; b = $random()&32'hff;
|
||||
#5;
|
||||
checker(a,b,res);
|
||||
end
|
||||
|
||||
$display("Test has been finished with %d errors", err_count);
|
||||
if(err_count == 0) begin
|
||||
$display("SUCCESS!");
|
||||
end
|
||||
$finish();
|
||||
end
|
||||
endmodule
|
25
Other/vector_abs/vector_abs.v
Normal file
25
Other/vector_abs/vector_abs.v
Normal file
@@ -0,0 +1,25 @@
|
||||
module vector_abs(
|
||||
input [31:0] x,
|
||||
input [31:0] y,
|
||||
output[31:0] abs
|
||||
);
|
||||
|
||||
|
||||
wire [31:0] min;
|
||||
wire [31:0] min_half;
|
||||
|
||||
max_min max_min_unit(
|
||||
.a(x),
|
||||
.b(y),
|
||||
.max(max),
|
||||
.min(min)
|
||||
);
|
||||
|
||||
half_divider div_unit(
|
||||
.numerator(min),
|
||||
.quotient(min_half)
|
||||
);
|
||||
|
||||
assign abs = max + min_half;
|
||||
|
||||
endmodule
|
Reference in New Issue
Block a user