From a47e4d441c791f852dc73d2396be72d9b58a5cae Mon Sep 17 00:00:00 2001 From: Andrei Solodovnikov Date: Wed, 15 Nov 2023 17:59:41 +0300 Subject: [PATCH] =?UTF-8?q?=D0=9B=D0=A03,7,12.=20=D0=A3=D0=B1=D1=80=D0=B0?= =?UTF-8?q?=D0=BD=D0=BE=20=D0=B8=D1=81=D0=BF=D0=BE=D0=BB=D1=8C=D0=B7=D0=BE?= =?UTF-8?q?=D0=B2=D0=B0=D0=BD=D0=B8=D0=B5=20=D0=BC=D0=B0=D0=B3=D0=B8=D1=87?= =?UTF-8?q?=D0=B5=D1=81=D0=BA=D0=B8=D1=85=20=D0=BA=D0=BE=D0=BD=D1=81=D1=82?= =?UTF-8?q?=D0=B0=D0=BD=D1=82=20=D0=BF=D1=80=D0=B8=20=D1=80=D0=B0=D0=B1?= =?UTF-8?q?=D0=BE=D1=82=D0=B5=20=D1=81=20=D0=BF=D0=B0=D0=BC=D1=8F=D1=82?= =?UTF-8?q?=D1=8C=D1=8E?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit Данная логика не используется в реальных проектах и добавляет большие мультиплексоры, отрицательно сказывающиеся на таймингах схемы. --- .../controllers/fig_08.drawio.png | Bin 153294 -> 153185 bytes .../controllers/fig_09.drawio.png | Bin 178748 -> 179580 bytes Basic Verilog structures/Controllers.md | 9 ++-- Labs/03. Register file and memory/README.md | 36 +++---------- .../tb_data_mem.sv | 49 +++++------------- Labs/07. External memory/README.md | 10 +--- Labs/12. Peripheral units/README.md | 6 +-- Labs/Made-up modules/lab_03.data_mem.sv | 37 +++++++------ Labs/Made-up modules/lab_03.instr_mem.sv | 21 +++----- Labs/Made-up modules/lab_07.ext_mem.sv | 32 ++++++------ 10 files changed, 73 insertions(+), 127 deletions(-) diff --git a/.pic/Basic Verilog structures/controllers/fig_08.drawio.png b/.pic/Basic Verilog structures/controllers/fig_08.drawio.png index c9ae2e33e182315e66adf05b56f27b786b87fcb7..a5db2b0531eb2bbf6ff42ee995c5575e5b16e193 100644 GIT binary patch literal 153185 zcmeEP30#cZ8?J;Fv{;iC5?z#LrWLJH*+U{xT1JhgrhQ+LkT(04BrcbdibP~zZfLP3 zmF$g5p^Z}h?>Fcw52-YC!Edjq0#ARh>Q_1qVqa_!Rr{9#j`s8p9F4Te1R4 z0X~X72i48emVI=V&1}7C4)!aZo#(BX>$1|8PBom#{*0Tq6P5iL8>$D*nu;BzOp{gA zf$w9#l&N_8z#B(P2mG_LdWy0-Bw0O@tQwj1R}nj+%l@m!dacGfu7V$jGfgAQswv8< zE5V8I`=jA4>}IOwg@kGSfUT(=HO~)2^PoxKI;%?Gor?HVKCr)gx+TVgZLL4HdCo|tgTTq z;@1Hq{O8|oX$_Z_A@grehxfUMCEXowM{Byh1&!S@u5R9_b=|05@SwVOZVq&47!tg6 zb#r0ZQ>QZM3>P@!$Z&-3>jF~H(IHtfMUnLb$1UkJTWoyMsVlJ4z<_h3LD%WvhaG4( zHrSPPJ?&_2)CEqKFpMaAo?xeN%!T3ZXv4x1?6NBuj&6i2=(B#{xGQ{#=4h+SaC2ig zz?WeV0y1Fsj9rY1tQ;A`m)2u7=-0at^)?J0D#L;5=Hd;fMQ0@8O^A;gvJ!4^o?ncR zj9Z!=(f(A}w_=H#y=}{xv3vd=(}2(5mi6_!Z^r;B9Q{kRfx(1#H^arvj$zAiw4_h_ za+J^w82*0w%q#{2dWmHcE2(a7-Z(H=y1Oypa|DB-dePh#6gCPyP#qE{q zXrm7%i~pb9Dp*s%0eFLtHCKka3(Fwk5P&b%KW>&Tw&3hopHgW-n163nE>yau8_lEj z_1iO%uXlNC{DEh-#4Hw_$B996bOVRl+D{R`)6r|pl#H-%f3bfu0$8l&;hbuUxYzi$ z33Fbho%2)Lj4w4sybJ!>`6*N3^X!KBo~C1coG{$K{vG_&ER_G&Cpnw}{x}2#c5!w4 zjlckb9KV=(w1>cW6XF)As*XeJw-6W~w`f>&DFWkzgwX4rF5vnsY%JX@e|J7d&x0*= z)cLL5uL=nVZ9?a(;)lA8`^D{((D{}&HZH%r{l~kVLc%Exq1%<dxkeI4}A>_D=L|?T{X_GHxsQC6y7XAz#Jjpa0^LKbI3yT7z=S?f<`UHr$2@0M7Q_ zR7XoII`ub@|2U8{`YfDP9qW}YX?8VSC247P z&WIZMG-9)(G5Twool`tzw-v#_LE>*C`V$Pgwe@o%7v$LR{DXobBz7P>fgLc#@&yWH z^_BzwBniR3K@KqN42&a;5asqp2!8CRBpEovX0nPxo5-20g4+}_mY)$hw+`0HDr5yL zV~&kQbk!dYp1UwBK;jgLv7j0rjsi3Y@@jZr5)AzZQnYv$3}t7n!Md?z*^lODy9%qw zz-(AOim*zl9cBovg>^a+s}M>fL+Dx;fg9%6T*N=Xs{ag(Kz$6cua%HAhB~qHF@%Cr zn7DayT(U<1$S+l!F(mks<7a2m3^VujqrmrG-UZb!VEd3Qg+u792eAEu}bK$LZa>vfwWcM+Y=s;2O_!` zN*kWhweAIXfdu!$)}%po;%M<7pb9`d)LB2!M~E-{|5bBwKz}>TLK*L7V%L*!=Z=PE zmv%i0!3bT?(c|5Hj43N}hMV1PjG=8@AvZZgN;MT(q(aXa4YSMnAzj{9G2^zWDO*gg0kP}kao#mWe%5tej5 ze6{699GCoI`07Gk4Ph9;++3)ZHWt5GG7+O&UbkO#$zcg7dq)(Y+rgP%%aXsPsN&Hz zAu#=cFsa8Xs<@tvI}VBx-hG4~MMYh$;+{5we%Ho5zZKP%f!fiF_EaV{C75+X)KtkJ z(6QeCMCU;wee0q_Nw#x`pDo|G!ut5RWZhvj3t2ZshEc zXZwlphXS!Lmqc)gF4`mDk5gm@_=DO0)Zh4r@;YriaR&^V1OF%}G9ln!w<~<6;4G2g z;;>MALDx(iMlz-;LDK9%?Boy(zUZjfSvU)QI)F!FK9Pb42%Q0sT~vZYYMoD{V77@V zTs;YMP_q*#7u#LIa}e#Yn+tQe@gV#sDI@$RjQ94cnb9%SWnCI!A;-y1y@@ytAHn<{t09K4rs+WJ&}x}GM~;sTfz|g z^>vXXWjxJ*pg<9Sk4AXsE4O5&*10YUTC)LN@`t%6o9z7T#1n@b!@=~QC7#f|a#pIg zJ-;gMHvifAyKiC(6{ZkjoW%o({*!|;bsV;~6h$Mnz!(_|5?El-GMl&+bZ|ltE7y(k z-*}Z5G5?L7u>EYl+R_!dth(_PfO;NXLF|ryC zeE&BinKOyO9w9$Ak~zm@2jlJkZ)~BlguF0Hc>Kh%)u!U4CC7p;`NLkg3-hNGd_WQH zttTn~9oTuRcpqm0oniZ36z{iD8C6KQUe}{6j5Ed31LJ*EH;TiHN{@&+J2$)WA9gNi z+@aoAQ$cDE8i_xFx}mz{jxX9H91LfQp>r9|7vbagl+G`+QP}jH__w{v0kTrtDV;5| zwY$!+l~omc;I)1q}Cd_GLirZ@*Rrxi8}2sozuTwr026^ zWmJ$s9O>OHBcsHbk!c0rHk?TCAHr@l*|vpz;!zy2NAWyI_rY$w#2}!Oj*7^E=3hARw<>ixwJcP-3$ZAyH&2|2t3*-5-*-U8ftUZlOk5l% zJA-`_I_}rkLy%z~Cj8kDc|c^M3vsLMC3^&Fb*^69K}zKR&*0ilom9g)(a$qCjtO&Z zFWDo^jZ>Kge~C?gfqkvoV(SmM$N$L8mo|$_DWkbpL{RQ-b0?9PAn+rO9{&gPUjC~{ zqk?LgyAEkMF4+^1Mj4k<2YDcY}f`tIr3XnO<#{HdrR z;j;Vqw02}~*0#Joda|r488tMa_0dcfV%wu*Xv}skpXgjUmNVt>pOH;Eq8_MQJ0Jha z$hYDth!(bkF4-f{pHraaU_ae%9bh!?25)#()c3@Zj>|#Bk*?f^5_IWESHUO65dwoQ zTn6KxvC+1h-?Ux`qoo)cTTvKR@gq(JS0F)B3_tKCli6xcC+q7!kjqrTdz3w@*ad8; zM>~VvcF}YjR1(%=ySVr5NuYtcf)FzO7C_qQZN#_j6GoCMp0a5%1soy>FxKq^5Eag< zmTn7UXd5mBNTy#x@vqD|Zx^396IHD-u>+dLCLL_7Liu)rAx zES>a=&;t)9{sZXIj%eAoo($V_m}YHBpJi!9r5iC^Y54xQRtz^ch68jF2pcvGPmC49 z8yibkJ8aVp)*G~2Rm<*{goTaJX?5r{TSxf38v~nGg+vkuFIyK&Cp!fy9k%jt!7i^r zXV}uLEo?2_sIG+9@EwK|)dg+Z%i73{O(TEqUda@+@IJzecD6`IVnr+Uq)njOwdzUd z>ZBde_0EtHi0lj}aIIPqKh`yB1EtNr*s3b3NEJtVL+9(F6ts6PGBD1Ivhm4?L34Ct zcg43O61HFL!c}WjIF(TlIoP*La9Y2MR#~M*22=(sGpR`TRL=7$6PZ*1+(wI0Fk;0DLMVESg)gB}^etrD3 zgbQ7pGf~AW6H7x-Vr?D;WhFUXjTvr9=co3L=`W<>_PC*y>cdK>Gl5rN^q*4zqgWuA>Z+D2RP9t zXQGB&Gyx~NVa^1F(``5;)sZ9o{H;oL;33HBcue^f4}lh4B*wwNR+GY|$R6M!x|b%U zhSLF}XHdg2v`gdPpUxlAlMRi>_31K?M@*7y}|cC2MSmOlvTrsfC6p7N#GH%D?L6kyAM=wiju6(|1C?k zCrh?3NRrj@I2Uz&dp@GuQAp0BOkfJXQ`#L3{Pw$^qS!imP)7M6!l>_l^q}V`lK)5r zQAKrfrdSeOK}GFn&1P0_N0~&0**!-Efo+vqc`OQ9MM06GrldkrX8-Mhg*vEb2tf~q zYQMeN_j@yCQX8{CD`gWPt=lD8kO%%X5zm(;!dS?I!4RZUzEtaCZ!m7qQrF9>(g7}r z*Y#rGVlDFU)tSv-FH&R=Wvtp6P6lsqN!)iU=t&7M@VorKU1rlh ztpOT?A{EST!JH^)${zs*x?TCdI-Zj14k&;YZTS`o5HSG9k)MnL?I@sV-=HofFFzjz zI2BN9y1fOB4V45V`LTivMb;1WADVASr><~=w{52wI?!xv=zz+)o^~`h>H;TAYYd`2 zVWJ@%b78nU+OTFWVg|E<;pjG%LC5ADz@Ve(vwq;XD}0IOXse6QebmF&?O{K~&z)`v zn|-#y0kX0(Izm7KG#{bc$QtTBPXTQq2P_VOPiy`cQ1IQ$$+e;gcsTnXpa`78Cp$f2 zW9eoI7@@370)D2WD65RQzt*cM{>FWBBQ`jyp+vKAx^!xoI;Zx?(b_Qm-qO*wL%&IA zY8QqZnuibJe-}AMHIAmIw9L+KW$f$+BQ`z8doV!xHBJ9p`y<5RZD+l(JLaoFG)WQf zKB9|M#}TAU`SZUjfl^j!nb3_#cuJT__i*{IrE>^@|L=5Ghec8C2meaQX(PjEcQt0^ z7KMO_ZNIeAnuJPF387sVPXEWFcM$tn3?4jDw^RXJ_}4E7u%9jfv^**S4u0!^|4avD zyF?rcl*ui$he&d8PSK5k;I|OSqL|-cGVLgVh?;jPlj#B=_$>vp5<=g@8QW(CAO*I9 z0wt6tBmhCTlL1L+L%d(Z2v81y#Rni%|2{^5eGJq6*xzC=Z}=~C!0(D_+L8T!NleoP zNbpVF@3-XFe!$Q^E-0zAm7vWNtwl_+@z1%m2SSQ3IYt&vU}TM* zP564rzz<~zNQ$_4M+_fGB;3DtDQ);3XT~PGl&Lx{E|%T|(ai~4zR(rJ#_vB1I+ueN zJ~IrhmZy#!A5N2%$haQ#?X}F<=Oq5chS@jHPeGFsI_dnXXw@D3{(tuQm5@yE&+ebK zek8jizo*l>aJk8rK|u&Ktzj&(eJ=rEx?K)I4K1>QOqWDKIRyf-qja|n0ts#3M`&=4 z#_rxJ1U0k~3u<}AmfhtDEst0>F}B9g;+G{5Na&6TR^5dnTWd=FO}F`V2iP)+x=rp~ z71n#hNqC2@wVgGy*uC=Am>ZGmR?ZW7)NboMIUJHI+A0Fst}>v;&&VBg=yFxtEQzUv z-9hVN@vj$0{;8Znm5c`>Elr5O|G)8xBwR2cK=g0%mB{p3Ubi1vsiGNVxP>UUGp(rG zm0*%kLGiC~53O@B$XR>=@%AgR5!jnzffHM&`N@I{D!6Rz2XGy|OZ@<5gghDxx5iNEK0_^-F3^T2ui-&&!hW!c`(Z(CIWM z*N!S=36(yUu1>Ho2Mp2`G%u`Xwo7VYRnh89$WbG|MreAp4KcCl(Xn6D^f(!6)$~}< zKx}u8*>!}rZXKz9w^Y;_*hOeqBuo$+79IQUHRZoYEMSkucC?qa+K3X`aRv43zowa- z>{_jjq}uk9Y_HM1mnR}2=pk4j1q={aE(M+kw(LPOl-#_rDnG2s_6O=}9T|=oaG(IYSoa9@u=h1{|<0KxjP_QJ+2(fW}$MdsI~g_|T2XQ?dv7Jm#@*x%)d znE&rUL=DYHK;2DgaprA{ZuTnf_CCi-DOlmItxXr_tdRYmI{MMo>0%u!%hh zQIF0*(5{L5)M4X8GKs7TN()I*iGtTRAkc!PQq(9d|D>R0sgX%`K5TYaVt_8$Ghh=R z&IIPxg@Xsl!GwW;>A_yuxWMeZwK)8}sY3;2*utV!$sS2v9Ub^`@-~$@KbAgh8Aq+? z2@*tl&ftQX8ZiBc?c;Dy$8x7=c%fR;o@Ly4(K&$=fZSo}-~`4%#})?Qpa#@#EqtoO zCK_#WlvpQWw1*IDAeoaGYoKGO`?}aebJluuw*2=?pY6vQEnc;Q5&qq7veiiLOgBmB zBLon{K0?R3$eSwRfdv}j=<>)!euBqTQ*CR$Ju}qcRPwPB92FL%xVk&ROIr+Gpn=)P zAfHD#%whUJ5RddwkyRaL!?yu2#N!Bq1J!C02gjEpIAot)3}N_fw{j&I@DhpB_e0os zgHdNN2tw1MK~8L1bgYYTN0rjn1dtSqdbabC2iOf%5p>LM+TKCWpzfT?KbGNvUp$?I zDTHkfF`UQHECjIl)= zT;GBDUAU;zzQP0FY`gx;kD&;nH2-((3D!?&6Skr4a}e144DzIm>nJExYHw{f)z83Ni+oDgA9@Zh)=rNV6%*=W~NDn#-K_~t^jw6S0< zEBL$n+i`I1dp96Dtz&l;lw=`vKg`o%>5;9vAOG4f>VEu=dH|zt$Nxd94<@}ZJRu{3 zYd&9+e_u-DIO?@pacU&7v=TOd$rLAyPW{}{cJ!=-!Oj8j-EHGmRkXQP3#{TnAYoh~ z??oI}$~a~JMdJzw`W`T@uuLCDNq_y8X03)GvenLDsa+%9skT{KLK&GQGQl5apItdM zoPztKUt_r_vCWE!z8()DyKPBrvA)wK)1lDbX{`)a38&CqYOr14GjMwPYwY2l)j;4# z#xkORQbN>Dbwj663*1w+GLv6|Yh7R___Ma67I-xQE8oYitZI`J>edAXs;E>MF%PtB z50QDa!{~o)IRTn{)2btWJQvql(_}r+VrXQ z=)=vlGeDYP21rtCML_WkQ`e9W6=a;au_~jf*8iG(uvOmnrkWvsgT_aXl=hLC5XK96 z-0zA7cCWYmZC~_IQEM%EDJ$Y|NEjMOk|hp}UtO<&s2ZWq+g<+X2QtF{ky?0^yFo)A zAiZs+9T~4{Yt`|;RO~|8B|^7z$RAyiPyU8#)xOL9o`oLT;jymZ{@jpAhF8e5juC3) zJMgBh94A`8rZXI8*LXw@;Rww{LmrX+d_OQP`70g~7oE_sP;O)IKdbSz1x)MhsV)8;#)zfsPfv8=fvG>^7{N1*TKjSi0J=_S3`O za4ZWzSl|MkR)Iz>%>PJ5W~mrJ}tOXX!ErKd^;` zMzDq_?BXO(g5o*o3O5&qJ#{X&^`RT~;MnFautg$FMQeMEebRRNz?$Lc2>oYig;tor zL&(l>x~R7~3bIu2ix4?`JF13q%R0%(e|Bx68m%t&wV}reXM_RN-U=~<%`00<6tGP# z7tnmLH9jDv%dyF2%jZ}u3jZMXIk-6Coi)ROW(}vnY+xnLmbEh&zF1f5s+}J(9S-56 zm4UF$_|ftKJXXs^31@HPH#u`494bXyX~KbKW5e1B*@X(vjz_atAmqf}#RNJ=Sr^-= z925_CH&95}!4|x2?RoKRJd}{fCRBcxB(5I)2DuMN!-v?T}pANUubPar_XK05ib ztQ!}XG}m-J9b+%^iWvSa3$LDR_B2{Qb=V*&zEz{1X>VJ%@35Vb(OzMlt0Bj2qJo5@ zr|mEnp6sS=Dbj1)0%0AaOV)2mHql&t_~!NNqbIW}ifhBFAnDP1Yma*gHJ6nnnU}q) zdF@)MQCdWaO?pt~p3!*kc=;mtjH*Q$EAu@wKdSH#kUN(|jRJ!f2fPZ$|L)eE5MYsi;2K674q1(=l4{F%ci}P_tM~&hc)bPjf zSso#rkHafhqu!U}A$bj@r@?;~DD9{a;AmDZej}#qfOei!djp>l^N^il!C=nE5#5(t zI)dXNMWurWiR{aro3}h=d^;38qY=cFQ%|E!I z-*6?lT$RP@?L5oq^+8p-QlrjOZ*EJW-Tl)@%{Xz%LXS6(WWA`PI6ELXVc^?k%$!}y zGkxkR#Kz^ndw%_Hs?<1V6@8BG5MIQSDcE!EJr?G zg_`ppU+vzbQSvd{b9AghU{gcw{0t9=zZM*daFAl=c~Z_^eJP>gb7IT3ZLh|WBB;An z9Bf`@Z9Q#U{p$AS?3l@d0g%$M&&uf79ryt=)+w;-3`PzB=>ncB)?vlZEU{(3)YaKnwz6INFR76!b&bI|4O zlZ#H1uA8*AQ0c3@JOdjX3ey&rRz1C%&p%4y?+G;{hsUh*dl8o_WUHdf6xVnsT)%nj z+_b^ZhuM17YJGe$kScvmO0f4ipW69hT!tS4u5A=6^No?p;7SM>aA-T7V{qItkT#BE z3@Q5{7RD{yATilvLaN;I&|SJ(yQV)pJWu8?)6_rTU)X*3)5q$AGq)?KS844nm*7q` zJ7q$xA#r&%)l!~&_|uc! zk}H?T`41?ek33tg&p0=aX-{Gds(+Xl90KFsD_l7du!3*Xird50U0xXO z3qQpuF?Sm5P<+O{mqX~*%?NI;tkzzxD^v^>F_Cg@lCyy1-2@(Wx$)ts#!%YPcwkT-is{IL8>QaXbY(+YQ=D%~CE;j91o z#owFDcJv(*uGv^YDL5Z^USPnwH8Qz=l`LxK>!9T=YC~>FJcE@%mK(BjX}3T@yNet-8Uh?%j2%n>$F> zTkIzO@kg{{@tNsc$1NP;Uc=0bC);L^SST6ROD^#0;6-ZVZqCB4BRnK{Ur6RcExDS@ z!eYB<~bgq`go3csH&(=&>cE*_BmDjOG zCei%d68RC*XKJHHPW^OvlRMUB+IhSibpxv&pCdaKsqIvvZ4xjS6tP&cWTn{IAsL6F z&KAv|8X1sx`I-Pe#HB@e{f0I$m9J<{X?&QP>O|iVhE4%UXEWUl7 z*TigZgh~a-ZC~cGGikOpiFu~{*n;3*f7JO;yO{+inj)I3D@B?nOur}h>1nvZCHDh- ziW}BU0jO~s{XTlVq<>_u%U0-7d3b{Png%)j?KkvEUViD@+R(YGNsjM%>Hwqfg+BBw z@z3{+PU<_*>hl9L#@4?#k98Ffd}XV~9i?5v`<~xF)ksyqf9XOqrk_?wK+W@+g6KJB zfYY6K$F^_-7f;p2!sgv2pRS#H)8Jv39R4}8v2ye5-6|w)sZuFup#!{U`TThgyg?`s zJwQr)EZg@4FCMrrH?sDv&1E%S#+7$r$B!BMHM~Bwu-|&{9?IfD1v9~gE*4evdFkvS zX;$MrO)i^x**;QD_ElnI!z(fCfQ)SC@_-4UG5XPLNYIu8zdrTiE&U2UX_uN_LR0pw zIhD_BaC|*#xYm#%L*$o?zP(G?)$ACUU~+i#fkz_D{~WrW1)mTb4Ql0^+`2raOFL|Vy(AA+KHDX?XLDdvZN3bt zyfUL|$d$eqOdlSvtVkL-`PH5b300bFHL-A;zsr~)fBQgkpY_0l$@&}2V!4JK9dFQ14qJp#D zsac-4mGf`NpDG%4*dj1VFc2(gm3{AGKL3nKMu(2|Es3x6sV#dsrNJX7>J-=|=I;M8 zKX)c&;Cjg>!#_2}XRC43Kb8*pv_@#uZDg21zykaB+i|~e;DwL#c`sj9zau9)YANQ1 zmRSroNfB+h9wD)y@WbsK0q@VwwGY-09Bb^PfN}ix8Ob3L)Uv(l&QJA zShqZwn@8$RSwpP|Z-(s>=I)`2fPA~W>0@Vuwcb_QRUwGG9p0(Xpu>(O+~*F?+B*Jb zrhg-E(ww^hqxTiKTz9E5_PSLT70{H&N%7Wwc=wzNeCk-%;LYl>xuL^Cqday=r%XJ< z93@*h@_7ESWk(xq5~lh-gl5?ChjD10$vL@wLnkEcrNuESWkNFV=B>~a{h-PXJiHI` z+rheUJAxw5sxR<)i8KTZ?`63p!{d!n{FAx6Rc556n8226ApzY z+a_8ZGcV1KIl5tYB=-|f$29?&lN47RznfmDJ&|ljimeh0$&97!aHnnsf@ET_w`mN{ zIZNS6F{xH_V)?IFmN)y?7muCnQStV)ZQXtK^=BA0mxjK7ds!xvdUJ?+)!FJTwiXkI z+3CEw8eer_fKScT-DLVY-Yg*2r3YFlw;Tj0=Y>aV3T+J9zT7Xsu{d(3qNdm1;>s(x zRNt_7HfL_s?9+$TN4RKCY;^vpW!vxP6noWF-;c{-N=@-HlAo|RChwF_AG73vL6S`u z9?wZon+kVGQF>SbRDlI8UZb_+szgSF4W27~GrxT2sY;n0lKb<^4}|!R%<|ct={!$6 z%y-LG5v~cNq$g=T8>!a+n(d;{On&XyM1w*82Y_p4?bYzTS8tL7O&mTtc5{#`z(=+z zX)Jzz8+H?E_GvOtcZxfc|FJgTBJ#?vjH*ntV$0%W>+89XJf9sDy1iqV(v{_Yq+z_f zqSP<|5+@I5F$zGXH~>>233c&%yf~0-0)U$dfTG*mwT3zGFO$Sw0#`h<)l1hrNE44^ zoZb95OZ(Kk26qXa`{lhyg=7w0IbpLqoYORxyFv@UtwCe7<1BWFxbhluU3^;!B;$2{ zl0{JBmLwk>=|0|O1Pol6QC*rNq?{FaIL5VL@zo83dtX=G0iL1=I?3~T*l9K>8A^vi zqo%5rk;LF`Vw;VSfD zlLB4F1}h0eAaz+TMF@vq;R%2k%Ri=g^yPJ$BYDXe#=-!Xp|LMtABr^*6kI1HJWaPy z?O4>yH8SFh%)P1GM2=UDezKD*B-7Syf+5a0c8-KdcxPM$!$7O~gY{{6yn8A>da**} zr!&+>41IWlwt1=Z)xkO{SI=M%AT11S6TF;y5IKNx@cysi*_UF1RmJ(G237knJZuzq zxb$%0Y>BGO#$)e~pa0z4=u$x4KDcsS=m;1}o6H#J7LJ*_cY?$ux3@;U!dL{N2HGTE zs@D<}2|AC}j+3evd0(K(*Ay*d(6IDq;Qf(2%@)n?hn8H`)YJ6n4}5#++J0isVlO7F z$9#w`^pO29La|n9GO5!#ZVX@Wn!rpRdv4 zgpvXlU#u#svwHXJ>Wm#iz{+OKm@&oRxq({~$@q#{DohqmrGimH#_Ax|KN$sRr35wDjzHOyfBG zbll^I2l)Jp7Zj;~u1L)h&`j4o@%%Dlb)WtROcIxr3DQ0{HT}*!{&1_uTyy^2j}}_X=4QudgFPPYe^9BmCz) zW?!AH?wf)Fc_T)skD9q{!o10gcI=l8N|%Ob6u81Sc2(hDUY@&zB%JRYt9%Po$ELor z*yYoQciO`SEug_TJqRB4s`14XWYQ}!la_q^J|;dl=V4H4#`;lQ(IqL=nFS|k3-(vc zNlgaIuyt962fumKtU0|sM~YZ5PZz8>^hWo^M4-t7q% zLsJzQ?9Y=5$NhY_*b>7|x!tMDE5Mcc*H=s)T=c|#?5pApe)nFUD2~)@Fno|;IN?W;AB@t}RDbytolfwz|SM@v@cKwZEP`7`$WJxuMaK zXZEBuHaAuYW**-hx8Ts66o~5!Qf(v0_lcbHe%&FLkHblYu0Xv6Gchn^|7L+yl=E;S z_J`HJMjLZgJ?;r5JM>nV5XYd4ugY{S&88m9x>A*Jt6DpxdK1orUBITsdR9y^ofB8ZFD@7j z#JHyMuAItAPcz?`lW#GdMAQd-YebP>_3fL@=mzR6E6?%Mpf6}3jDITKv@WMbX$D(u>N&oTD5gu;-k1`nM#}%7L z*v2siHJa;bW;KqidHPpAf7qCH^1%nrz4_35cdph};9-Mnn4WJQEmUDVo&bY=tNpRl z`i`ex4%w;c?^EepJfO_%oR9lyi9R*Vp*};a*@~972|SD~{ly>1dYJWN&)yPF70&hv zo3utgZS&N{I(na<3I!VJS}-U%r`)B??u@)5!AgM>N@duP&*ORCy)0c0MyBhWplB76?ZT#{8(* z>%iL07!S{`9J3)PAkb=Z9l*i?xZ$F+O?8FuS4(};<_}yqpKp}>;gg<^=P=D2o~sHJ z>RJ^toAUSj1Fj{9r#>9&|-Yf0#lN%)crKtU48E&_dAl_6OJzr7_RYYPBwGu6$`h#X)}uAkAazI z0i|%s;LVMA{0DfC2NNtOvoU}s4am86o?BjD0so=LcWedkvV2OskO&`1fSdPXQt!;> zf(eTS`TboN2~U@l49KuKrTvx}A9*D8*+X|lueVRgR}+D0fBZD0!KCT(^dy172^^Ql`V-tslK6Hd_!M#Tm;pME)tYmINF zbb#=?9rimGc*RWiJa**qxLukd0XG7p9*$rU6Yc$&efxYU5}zAawO4Frl=fnX!!3N@ z*M4+6cW|k19nju$lP}EHO5jbdW5!F;rBtiNC<^*d78ktA_x6l?Ns(4YjG$u<^U&@1 zDjUfu^+m4p|C)c$MfbdS^(%FfW5RW&d%kU5j91OPzV9uQpMWf|K+tUaQkV5hlyWnA zf!av6x`l)bD$6|eF&n*5P^>4SDBv&QCd4DP*Hc2m-1lCLfIpX_fcdgxxys&WMm)+- z=5b0ZDQnPtk;ly)ZdQLggJ0*Q18c@lpI6_sYFX^jiEjE*{+B9)%kCd7<*9$| zQ!B#Vv`5lkYXw(1n50NhO2SaZH%dR%NWx2@;EX&2;bZrf(x-VXu9brrjF@awa+8p44$*f0#3BM{n z6FCJq^Sg@kL+ZUERE8_BJg~pId@)zQYo#kp6eqHKcnGUi@3jp2VIcwg`w8VPK9|aE zrMOWq?sMRllEBaYwS()g9By=$8u^G#e}o6aV;;U?a8Ds5K<`Px1b#JX;XiZp&0Qy_ z3dF>F=1LS!aD4P2ulniV(~K@j4@|3mzEZO~qOaZ!DU#Kiq}ip_31;3k;Ta-NWEn;2 z$teb2*_jp`}dzzPK8=}eiBfNOpB z6we+M42s+IoV^+YsjfpdUhUr-9P;~dYsiHOPq=1}g)Y15^b^Q2-=8eY63b5+H2k1m>c8Wc16q1ggsD}v7{X*nHpz0SN?;Yn>Ayl));7Q%e5PXhaOQu)&Qar^A5^DqfWXOeGbbH zsc;|CmC7%Fo!9`e&GwJgrQ;`NYKBJIs#OM;zpDA@ua(UlyIUa<597I6&UOUuYyses zM?cYL#`n5*xG`QoTy$MPOiikN(m9i+mB9Tn>BW(cIg z=NMK@5x_p-OTU)*m~T7efh+{4pDOQx!Vlouhk^)a50qagx1J!_B^ zWtvaTP0^3fr9R6+ld+T}$)&EhmC4ksL0F_TDrSvIczsog#j9I8 zM!AV*synB6ryQDu3C+?Ycp&w&2@7B$O?H`^L#_uQ7Q;XDV|m4vTre>l9yBSz#r3wAc9~q&Qm3W8mRA{Ts|`IMfsuH zvil2GEg9=Sd-J<-W3>W88)ELczS?P<^*mBbAzjw8?A~8xCwI6(#PquGRMHdox{8AN ziAzpe9kIsZI4(YIM9xQOzqz`QKRMF3{9T#t`vp#cZ!h*;+4t^Q?`nF|eM)oq_0KE5 zP0m6a=R`VNcoS(jP}gledFvNBo3r>Pd}^{=dE1)L0Cp->-o2r1j!hiI56_g$P?1(8_lh~-bwk_%-eb@H`QuQK-ds@ ztEOP`2HJX|kJTK2Y5_)wJwQtXZRTfH^|qms*09oCadi` zRSHeL{Gegc84Ityq`vrQvRSYF1SrlM5MYvcm|{81=bmq`v2?4o!SAfg1BN8!r%sNK z4DvHeqpx?%KL0*zeA(r4-~&^W;~{J-yY=R>kk*J9zVtOw#IszS^nn`I)WY@*m)T-DnJaAB#$;en)?QEyd6KCgBYC`&Dtb}6bM}}wR}bZuX0+hng$-D5hSQuQt3+f;R--cY#4>wMXp zk3QU7x)OiCe&9c0l=iK7$LFI~nNP?K>wC?1xY0vMic9YGs}W1W2-Kf7?EI|hiXyoh zYf3nc4My!)YL8p4c4^xD_h{+zaydS&Y zxl|OMYY;V;Z^Z<;vICw%7{JR9*`e{N!S;oa88d!%#oF@wN4HyVhe!9`6v>bK>|1qi zEWp)v$SV(C7+HAf!*R(&zL$z0NuBzX{yxDVQqq|cR+m0{A9$=O&oY+V4rNKZD^oW3 zR83>=9_6>2@pM6D&T`<<*dvh5KRTli43sJzNLdZ zQPa^+GIC>;?YGrb6j0J8TnEJoh|q>ae;x55W8)%I(?JPaM;FwH=qD|prKuRY^@Ks* zn}TP@EAGA-c4|gwo=0Y#K!Md1Pl>gO^vi)f*OvPYo6GkW7{^@ix|6Dpvz`x_xrbhC zAaQ%QYL5JfVZO_YMlPR{Dg{w1u~BJPz*NOs0pj`1Rn#9OV_?6-Cga3 zzJ`*q;ZonjWQK@<|M~S}j%vo|GDaaHzdnTnrw0))m(XKlC=0k;9Y zwy5{^OZJ0apE}=^cqUUI$x?Q5WTvn2IZQgdGyBl$n5U(FZwfS~s_#kFbYn(|uG%H5(Ga3P zsyQcI*W&!pjbT2mOix&oStqwER{dS` z)O0)U#B*Cb@-8Rtk0^ihw@JwvhW*5gW=Z(vqs3iI>&~Z?M z^NDSeO==MW4oEK(y@BPOtgB__=ze|{HgOx|Fc-ZoQ+g_J?arM$E(N8xcaKeBa;q+eDjQ+xEF*3Q{saN?Abh+Zd_$<05L zH>7y4xA@7e@+;;HYM5g?)318)cnAix6MSC3JV#A{YO74w&}}Vb8OvVgJiN7TbMEya zckUjVdvmAlUa`OB{6O--%0v$PW;hG#45K|dK|3Pms;K!UJYOZf;0)ujRZ^geKoewm zwr804D~uD7;TDuISrqwnB?aPO`kti&?S}qQoHfLM>#pKUtMY~jG%2oFneO0MlV<=G z5xbnk-Sc+cU+OWhh-_~R0I~AaV)F@X*#WfGCs2!$IoW)Dj>OowD#)0Wa$UI^f8I7{ zFS(c;E0&#KstDnEURHI%%hB^EX*2|6zp}}9hp524m;Q*Py;lZDq@R~e3i$Iducz^w zhQA}+TOO9337B`p<;rxXuR5IgK~p*uT!e~Wyjm0UbP02^Mts$kc!sCKV=sNF$No!g z|6GkZDVeuoX=UQ^TXzqY+sN4B7k%fX{KrSBK5HA2t0C25+%!GQy^mEOkfBxi>7&>A z@;W6N|Fx-V%rx2bcqo1gN{B*ST6!YHKpSUAsqy>k7gucwqn8|6I9}&~Z%mP%i08PP zd8d}$^M6j!J8AGJ-8tTl!~mPmHM_1b|BPl~Qu(d?z3;?A0!BXb+dG=gjc4C^m}`Q< zt6p$wrH%W;G~wAI#VGnQBC%@D`@R#jJvY544FjryE42m~mbCWsFXX0^Hg)tzsUh==Q5&qvn;XX>o(gB3bp z#&lRFxcVawigX@W7Irpj8%Jq@3lx5@e@(|Dc=vk9}BaY0LwTM#C@C!ZEp zjnnd|SnNLJ)5&~uLHE&;es6WK_#MhCls^0kq*&M{{-7eCon6;BEYow2He28DO z_Ncq^;H5*_ns|6bCxl0kpiDn2!?-TiTi%pA5( zK1b{G*$pY5>k1h&Lj(r%&{l87IxA=(k8sgE*-z)b6xA6*ZneoRl5zU6DZhVHhT6V# zptJ|)#%hv%YV0g-i)s3$Pgruo5UMc#wBk|3Mw#|}{@n4g_oCyA@iq~bgj2j-e0~4H zbe|gKJ=em;Z=Rsty{FO)LjI9_E73F-!aC$%R}O$ef$@J%+JA;sBh zE}&)I)E7y?&KmKIN-88h?yEO!+9WDQwpllp@At2eW*73T7 zo}CaPLXprwpRSEI3aeP7nU=L3YV01V?0rfH?wYXlG`YT?$a}Ez2_O8S{;xN8rPJ6Z zxZU1Rt+Yl(I$cO|3iEIz#CRsU615-$=|IwEJm#{^pc3&U1fnkPL!?wJGg{QmJ{Gowl+q{vV2e}1uf zu5qF8gT-=8plC~YwJ+6ER@^cwxg6hob*Kej+VhNeOf&a>RskiM{_4(=Qy_TuibsRUZgg7iT1i({R=UzBX~5H9=#-&r;p$8x^2*3Cq7MOXvF5`kCN1X?fPLw zuXo&cnTb%(hR@$^A5>J9&1!o4^s=j2HIT1^ z0V-ot`vPNKJePYoXmn9d*i%bs??NtXB;2|Xx67Vg$3+`nxz;yq)JS_QGctE?u}Q#` zL%ET6`R)#Z2Rs37Gq;g1H4q;hMpK|io{5b)(g(=Ukoq%}B@dqqoCBF|TX}q5#$3q$ z{h@6QL7(UxxY?(@468Av1%9P@;qoK3BNhytbE@+C-zy%mD~;bW;P9UQ_{p_-Lcsoq zj@pt^3Z=#3MjoN?MOQ3`rTU^m5g!ui0H#&LO;ZFxiT3?ur-2=ARXRKfl8_ebjI#DF zU>>4t7f8TU+=7I7PEl0qW&A=wpo z5zuvNv$QUzG+o&{X-3+-N26xGjIVDKr2b9sMw`!e_&eJ zkTmI)Y~^Z(mRwznhrfW??6om{*%B&Xnc<*ds6V;^wE|&jVuIXQ9zU=4I1g^((!n+$ z@6COc?wz(vw}dij2!<5F7x-_%c!`kGGIyJd=`oTTK7*DCjh7Dg<9)kx)p{`A zD;I6tJP#gLOxz1tMSnHSeMiah0EzQ-sKR6nheszwTnh{&y|1`0o1o3($j8hX< zX~AE{BH9%L{pK+btz1kg5yO}a^uU)3FJanGY>Jy2dH|%gEVGgXQwj|v$zxi0vD1dS zB48xCud-uy`$B}Zf30TpwfB^1qe8>=&4iyUeRaZ622Q6Gv2w4bY?Dv{R6A1va#kI_ zhslH*_eK7+&p#$#Tb`fua9v6U7#VF8)JQJ5wFrvAC%KnxDcsBWw9~Bqu2Qc}_qQJi z{L^rV!xHh)+}SUsU#C@7;<`1Ft%WM0m&nSQ4)Wb`I6&T7sG3z)!j zq56KBgBg~S$52#c-ilPrlAnYJnKCCXKCX>rrtj`&qHi%rG1&?pV4)yS*wBgFAR%iw zefoN(mG|VV<8M_0e2v~36q9QZcw%3^|J}y{0!;@ZJl?;VbH{eWi@Pg?R*k-Jdc^2u z46PnS##yGJA5>7JfL&&`qYPbrKK zspvknXIBgbq2o_%9NlP%)sZBBe0z(Mb3?VnPsYN(b}JU!yv!_WmUREHa0OQON`I*6 z{cQbM9#et1)PTfD&o8`mTiF3yrO?&{cjun53AE1yy2;V#J`Fu_aW2p!1 z4vE9~a|EWooYyHrvasgRtev8Z#Sf=LhIXa6R`zGC+#^aG5s|r?@bx`Xw2>E1@7We@ zqqPyxSY2w=*tc2ZlWu^5X#*l@+{0CGl9ruui-zJ^wJmiISt^d2tYToZpL)Qj^t%;l zAIF&_$N@!`J0od=dEY&~OtE}t$h>TGsXuB`RbM5Sld^AJ4s!?XX^mB(IOA+wc4}qO zY08$mTj2yK+i;*sO-VrH4pbzn?a&oBls4f_gY;#2e$vE8W6qujm@{$HNC<*^+&sB2 z)G^4wWQ6&X#a7QcRT7YK!Y*&rjWIk)yLGjkuF$1<;66mOZ8v`&qX?wD0HT+(b&u@h zth_;CIyimv7_u8P*Ql_ze5&7J{l52xUD5O~d9oF1N}iPPdQ?459MbFDpEI_O`+Msm z^VcWUZboBS6N89(A$y%Kt>MQs)Z9n@wf7edJQudkRsFT5lQi(D>&1KP24$)&Ba76Biri*J8?JfJkC%FxuZA4VIO3#Y8S1jG2?)X)LZ4sq9`x%Hl2FTQ6f zD`7XYB-r7sAk79tSYL*(<@PeQ!1LEEmsLj;3 zx_lwH*Fj(=^XJbOUD6mJ=x}H))V<4I^<#Nlo;ldqk{uk7iPfb?LNFm^n}*f*?&+00 z+NtVX{R}Ym-bwQglr-0!B3obUchP?F-`Vku$Cn@(HmLw8nR)67FD=khKml6jUH+yl zubzeg`_yB+$YI;ky>qpilssitJzgIa`oSNjYC!!&hV6~8VqX2p?k{VYp~}?{2Vgwn z190||z&``-+hL{b5wu%})Vd`sX;Q&+(r8aZ$ zxjB;)^F$ zJ|^D`%JryK8{A-5GEP+U(cz`1T}}rDynU9mEW>1{!+<*50R1?rtU=Z?m|+PIha1W@ z%5ap6%~ch2|FrQc7he-p@oY04bliT2Zb@y_aVTk<142k{bgItm3thda=scnU{h(`#>^p))hLWbkqaU- z)h!z9-Yndw^<2!qXv=Y*+Lbpc4JJ8?7t`IfQcj79W7)Rg3ox+zu1#ZZ2sf)u_8!Wb zZc|~ihA`bmOgGM=MmU*Aly48vxF_ohf+V41nnK$;Vz%KB`3~Er>hZ z*sD)JqeW7s8T%u#N1uDsP`ci{RLpfOz{oz& z!~WLJ?S~P_w~(2)G%!;68hb#_L*IBv1$rp|4F2ja5aJ~i;WXDbo-!!PhR5IS}qKkUP1y{#P}F??kPq=%y6c|sqpSSo%LW)rx* zzBBD@7K`zWj+z1(|4t&6f8dxKPcE*WN^ycYIOKp&A95d6XN=6Io?oAmsfHDXUY4^d z>Vs4tnL(mhjz-#Usj)$y3<9G)T2pl)uIJn(>9C&7@g<~9ds&9X;GU~*2AwXb|nN2C`_%nLorkqE<5+d2uq!)`7vN7A4GD$22N;p`B zr8~*g8T-yv!YsfM8a~D#?F_V=oUjL%81KvpdM4*DGb>JOzW&Bx(V4;2NHR7zWAkP6 zw7U=gtc3|O(`N7-y-u;s-r`$%+Q8iJ-BoS6ck{>6gdb zSXk>EZUL168~%!*;(;&N&ou0Q76j`;DDR&-DMMp_ZcgRH>t{-v8tWI8GH1@1VRIvD z^g!;c?5=lgxy;1ED(Ue{{WfJk@>pKW>(hY$`itlu=3cIfzh3N|cPEsO)4r$A}Q6 zQY3p6Er;w)%FZaV9oc&x>u{Xk^;Y-i^Zk7vkMBSCAKi~S@9}!SUf1Y>mg(Ki`Aeyu5!7n{g*^^M zSUxB5oVDOM&?YhL>z?m4(e4LNv|0GGKf3Z?ryl@2EAbsQa@#~3H+LV6l%#x8Qg`61gK9qlDjysy{d~QA)j?_D{AKIq-)XNJq7+d ztOm=1e`F|w6M3!J7SZ3Fl5ih)HtSN|B){H_K!rG++2^Y z#&bMJ)t`1x1|T~WX{`U=jVhHoEOs5$|NokAS~X*I%k3^?+Z3BMnv83Tv!({5gzZ0^ zdl_~uQKx2xYr62)EblyB^tzAyiR~x#9FD#zm;3<)Oj5^!-FDj6_1F=@s;jFPpMR@a z5{ioDyjIU{nXjQc1a0}3TMcZRAoZroPluZL6gUV~`)-W&AdO6gOGaBpn*sh)iz5_x zxk_tRC^=kfxAk!p8`WHo!&u#luR31G>q#O%X`g|*djid=^A!KHqL zQ}$s0(*h(Zd!6#|VdnZxa8MaarY2f=PrWU^?gLf}-b$9ES^NxeB!AO0J!Fp@*CSD9 z!+oBpG5VOL6y6_ey#F9#aMM?v{5~V`*wsYje@7082JB;h{f{*@q5=n4hArA?c*;p) zULCPL9~+X+nkb*iDt-CPsVzC6B(cj=Q#yzYC65tee|_%@U3wI(SkRF&!P1l+P6xME^{w6D-927uW2641UzeZ*Cmy3cn1$vj)T%p$EV zthG%2N!YV_^tGy4@J^k8A0pc^Of3g-4$zMx@?VFKfR-59Nz)pwT`0zIMZ*lDn$}T! zN33)~=;30|vs`ee{i_Ssbr8&~@=`n*5iSW$E>;@XUm!4+m-V^uzxxxLz98^^!9cP7 zi4u7NuP3CmNn+Vwsw9tl26Il20CWMMPX#X5j^y&ZfoH6 zJA))CZZqcq<;5y$&A2=$_!PEgbH_kxCw`f`H?PF1cyiC#Ou?tF3PFy!VJ{Ilag!p~ z=kK~*5(Ye^mbq@@Sz>Ei2{Cchl0}UkmS#m1ATv%#!laSy7jzywcm5( zNucmCmT_pPm(%F4m3!x#${$en%-(nK)VCPLhgshow=m$ry7CLe+^hEL6^fsFWd&Ge zcRtGqu>auZZp^LT&v@W%hMwnh!73nEmV^d5kEnqO?Jr?j=a zJmKR{;cXl5^2m4NPY7Z*+3Y<{bXA^A@5F34E4Ucm^vPOg?7QhVR7Ht+ z=5A0@C{Zt}yr_6C{(+B@gIG~<`|34aqk$pb7n>0% z6o(S)X8qZeq=aIQ+XjB58B6YgGJ&uAOU;J9gU|Tk!eL7fmjAJm)?s=4QvAJxX-Yej z6~(QO5_sTb`M7_We|tZ_@*M@Ii>PBb*vi_SZX2_lg_GqZFUOmIb%-O?W>Ceo%n@6O zJ*tyXLgh54`tuzHMx|9?Z5~g<;>kR?hTk5St8nNl90_;WULWvCD&dl8p(HZUV+gHb z&Rx9meY%jeJe(mN(8=il=)(yd-|E-|GJT~#* zZ~$4@-|iSvzueUHsq^swiI4y35M?MwdTi{?Yh}HQ_AuKL{^V;3o-CbNjo6&4|C!dR5JOAV z{UuUtnPt!-ZKiZA=+5@lt5@^xJU?ysyV+ss;<6Ga@q|dyGY{eA6?)9TU5pK0Vl9np zGaD-4m+u?S{oe+lb168@;&+$Crg!`)sc#K`3-c;mygcdp^mYJ6LHkJ77M4T|?i~=n z{I34Pm5&`R0rDbp>UjOxQqE2NAk;E!vuBCx3pgsCdw(JY(>4?uHBtnQVhZ>;;8aMi z|6=j){L2<%(agKw@51+c&1SzcJ&oQFnBYN0eN@4BBO6ezqm$!}9e)3eXHe0avCN?z z8$dQ1j*&i$KR+4QIxzEb4U!l#pUK|+dF0EG&-lp;acy{TUOb-r;y!2U_N#h_wADES z?WGvhGM94LvMP7H^W*k)T{`tP3XVd>x>x0Y;eybU0an2kUfll0ALUyknZ0Y_l1J#( zewneWI-mdRe>IO;O{*I4z<(a59?I0~f5DxC`@REv*eD`>Dt4VHnUB6wYT7^3NGQOV zk9;MB7=7K`-09-_Xc1?=Z^%%B33NK zvXiS*ph*?L3N>K}(ispOwo1-+SC9vN7U&0?TrOAyqllE%a^R^qFhBOr-1VWQ?^5vg z&|dV#!{n3@{^eVdQR;r%BLbbgy>RwRZOL|-2_L}sIhOv=;moPeg)Sdd#}N#_8Kg;R zk*MkJEP&TDM{cl?3{+NM?&FnjbGc>{fe@FTk<6cWrLAn*LIKbQ)6K`6W63#j2|!BP zb^j57pMI()L#D_jQ`2Lh>eo6l;02^F&EN#!gfcJIR%E9$hTMNCp!*o1a{r#iBk!D= z2YOYJx+-__*|xf6YhIec?$W@gWU9X_33zsrO1>}hTe9~-o{iTYUB3JK#GIRLbDr4d z<^1TL9()jbaD~(Ujy06p5C@{BnFck%7biSwb^0iXPvEQ*2_;rS5Qg-Ry%x1$^@KjU z!qm=%wOnI?ag^iD>K3-jUqGuLMx>93sU%QS_T4{Tr2XFRmkQEZAf1Mi>&lvlk55ZV z2+gt%_yF!gljkmh?1sxVlvhV-6<=A{{2wqzXj$ty6|Ap`;TpXd)!DMu6+j0Y>y48 zJraAQyBFtix60aaRRwFi+Wg~dNL0l^CeoO+pE$IkCIRb#=h;PcF9y()2^r`HL_%XE z^GOatXDPql?)@%ry5IVPHFiM=%g$Vw=nQ#N3A< zL}Yo*jD4y9xl;Y-ogZ0Op#~WksRhXFD z0^aqg-?dfc9#7?333qRarTvKtD-H2kn-dkwGVRBI`R%MEogamozUvAggoBL6hU<{h zAt+r=xFnG_Z(X==`14S-|M9G%ugo;GGpf81fcOTNP+V>kvvCGbGoDq%<{v2=FbT|( z7J5%!)f<1VCC__YQzbM(H*gl^jqy!g*U6w!e%*I)Xfy%^I?$vr3tEpH*FL+_15MYAN_1*{1aNyTlt8X9CIcA;QNOr8396}a}< z5v#uXnRL*Mx!y|}mnWh!C zSxJ!Az67Z2+)r%9xpIbiE2ZIl^M2*PKDF1&>>E&`8#Pn(SKP0rz`PQJ|j5~rxH%xe#{W` zXl~F&cUQXqWaI$N&C4%KwVip?;nV7Ta!9uUF&D?@r2exD9|T)((h@z(ulYFK=U;tm zF2VeVC*z{PHejjwNam+Dltgv8Zp`qWbaAKt{$$#hvKdqVW8|pGQ27&p?fSyt?%zQsOim5H;2Lr%O^# zY1L7sx=lY6uN<@<$||g`_HbR0i;G1-FiZScHQ$v|7rwoP?*D>{uDyG`-y+P8*G1+# zNVZ1{O!3RYHhb(Rf4&WOa{H2c16JbRrkMQy`cfxY9hkEXk1weYfhIi~Ium_|G$ec` zx!e?LV@0WK08!hr&aC_ts-!6#a0_)mv)P#ygUZO+m-V3^%F*dw0D^9T%2-pYqL49q zDhO{KsUpH{>6@dxvoWIoMaJMCvv3C}R-lC_=;h(@E(Ecy1nE#vjeag1WXNr}??76` z`MtuE<~>efhz}6{ggWOol;P7^>)_M95VD_->TV70Jc+HJgZMo%bhCiYTzL2r;%y+^ zDKou1YONokA0EBr13=CdVA>c!jl1^m-?F;4SLjLz9v9 z%JwrQb+V4cY<2_N|5&M+5Byzv>pfu?Fz$=9z{9DnWlV9e!(YeH46T z$5$Tb>LB4*W?)z??t=T?bA$5ZE_dw-8+GJF(XF0i%{rckMxHrWZa_~r3V7d2CvT|l z?4c@2sPmUUhW?c*uQIWu2B}|qzoJ3d zhA&vMF2zEXjRZf1v20G)kP4I1J_Qvm^`50`224vFchd2kKVYxk{>$egD|QCw-+c3( zd!#62{qsP;1|Itc&*wQ8XeX~bOWLQ|0n4yS9P`WL;kyR|qLC(pO<{QFt6V-27hVFn4 zKm$xRCh@EiddT;565-Hl)KYYE4kOt_N1I`79fLYAF>Oh>WCx;&`Le>HSpK`Ue1WfmD(w~qSlGhHfC2w?gHnLNEQvgphW#{buMGVmG$~- zsVtN@rwwquy!V?%*z#)iMBindVyFoiW$Px8bCl&6W$s=M!iBzoD2ekctKJsq^)01KQ=cT;)$xwn zz>2C|BYD;!xgyx5aLY_3NASAF*$d_+0?l}ZCR=cSjuS^ei^#@x+J#ud*FID7T>FPU zPr(~F7?9dGs$RtXK_W_n3h34t+a!#!!b8E{oEaQQBm-$r_zK;8&SVJ{m+w_x)e6us zzHepG(d8g69h8;k$0*GJ0p*x)R|3x|#lsnX_Gg)wtAg{8|ANETQZe2m?b4;2;92lH z5(61_{~4r1S1EFVTgohRr|2n5NVzw$)9E8BoWX{%vv?jJ=u-j*@f z8LV@S1E*VM+d+(y9RSk1=N&@_x4Zg@+RE)Q>E-1n>8-)Mq@*p3oYWuAJyHZvguG^- z0lMMJVgB_KhzDLoKmPS~iW4ZGKf&BaZS2<9j<;|ScbZY5zMju3paeDx1`o}}VR?p} zg>@eO!H*!|dA}n__cxVNLkGz_UpbSZ1yR8(pOTz=T-2q~&$1h!Q8aa{{*Y zZljo4wy(CDiR~V8PmR?Ds@JlsZgIQevuGtQ$=Y{cbPk#cWR!BY)qY;=l7D2_q8}vP zn!k-IitxyFpQuKz8%h?RjVE2+s{J)~b)Zb10KC-cAo9VO7dR^j1%+FCU}vZq?~XC(qiiA5`l2 z>#l|9T*=g4#NPicO4aDA_y_k91%~RY!NQ_+weVs7ZVS{Vx)WEa=3A__im6+#yJJfetnvtK5p;={v9!$xK(&sjXhxXN4fQFM!xL(j2JEKUMTq_%X*Q5C8BM zc(zT}uk3v#xD1H=p*uWkJ&q>Q-%x>zL$AaKX%-_7R_n%Y3lFXD7cLC!JKqNA3w(7y z_WstOauH=2oyhdXM1B}3dJ=-F<;^o^!Ecm36~a5mW47DkbYRW==95Hk3JF_+h`-Vwj8JLlzJ$(0(t+SIO(ybdN z@ja62rTNuTmDf`uy(7NzqkW1WwO%O2uEwsyCl;h7IN56Gt`hcPV6k4&Zt8~|-YAKB zwcTVJU$ai^oLhGvtJ(r$@;*>zO&~wIGAo7tiS*!`P`7=aw(re*FK}i2^TQPp`ENN~ zM0xAS4K^`^5SbNM2FwDx$bP4SWQW&az_cGMyJpk;`VXH`;){h!a>Y>71th|+4qpx#p_|cq z#wBq#S%U&SGg?CYZDCMX|*RX~>I!v5@!T76-Pthf}A z@!VUdCW7=O<#}YCt0V-C)S`WxMd`+b&ORC)PP{R%sxk9XZ`F9!_xk#0s&~WXrefE2 zBS5nAU)NDl4f51lQ@d{h%W<=>ETK(K+B=zQ#4x9bk)&R>qLR@j{m6pYR4aMHi5RxG zs|KD&&o%xlrPa@MEq+K>Y@{;CKhfS~*+xvLlV&00Qs)UnUn6Zo0 zN4JISPwvf6{ypfWl;V{C1h2!(dED@`rV>|mg!;hiE-}KAPpPj(P{n+>iUPz$a;cU_cxOf4#J5Hqo zMr~scNSo7Ys@$%+6rMZc1ZvMT+N*DuB2eS%@E`QC9Js!vFW3FKBn$w-IE?(ma|z*%5J);qvRelV=9?r@o9_#b`u2OLVB zJ&#-iH?Lm%ak;~OyWE_mhf%6XwE`Z_^%g%@zVW1lJUbJulegUxupNJFleY7YTjG(L zstPqS8uwhy59_+)c%$VA>rffJV;+3_)^YW3Ms^>7=kgy`GVH?C2h%1Jee_|aq)$J( z_^AHTP{2^v?hOnhH*h2VV_*pWb6epDtp%wQd&n|UB5i2`^$(WHFQv70CHi7e=1v#7 z5;1b>@Ci9vJEH*i4JfQGi>s0#%-KtD2n&9;B@8S=9nxQwQzAf`)cS68Im`ZH_`BLi z-H%p;WO9kE{kBP6-y_BGc8-C969b+MUB{*!y zSo(gmQm$H`T4j^Ko$c{$^Qw5A!&P4F{dXX(s_x8l*}6YJxbm28CbZYlv?co5Yg&A{ z6{ct!DB0ATyKruDW`NRBB>I&WK8ydj6IulXqIJSJ!^xRsAC`^9vKhLS-n4n6$u!&A zxw#Uv6uadI3VAqdTEQXaMxlePe}p6V)7|L@iyh~U=85lVk?w|jiBWl(Ti;twI1Wfh z-zaHXiNJc-$|1*8I+O$|!ZAM*od;>;=Arn=(Yy7-#WR=I;p}U}b+@+ihkKcixdgZ_ zfCAvM?K^PQ3+o4?M55YDvzq=$pr>;#j1w6udRtMArIyYW{xAVr6BcH4wVTI8lm<{nA_$Ja)sgVU*sA|Nv4s>p4b~?WN z)Z^~88w(1Elh!;mQ=5>yBo{l&>|o#mSX_RsP@av55f4;xPV<(@&aLUXD60rn`NhP z(A%z9A9<_5#{JJ*y-Q7HD3sa%rzV7&C+S3R#nPb9$Nf`xwk(t`q%6ELdoaeLz!QE= zcZcN;G{0q#G`?fBhBMtYzRet0Hs)do5F|5){0xYYW7~ZYwINFG19Gj@W($n%=6W5y z|7igtrTbNB+i{iIt}jSwm=%4{RHyXs-|{gb^?o4R#gsnPUzh!h&+%LL+UN<^lWQQbC?K;|`h)vJ z(MGO*mU=m6)aGbZe1^bRfj}~uR7n;Ev8QCwcl;Bk<}E+K<`mc)Sr(VIh?^5hCT_16 zVhc*`O4=4y6FRZXOf6-?@`Jzw8a-G@hWLz(Taf98M8qY(p(`JRV*5N)uQWI$#O3>6 z~gDhm{&#!b<_h%R-e2`1ZgwivwQfXDsOIY`D*FhFad9n*g%V$Gn+H{It8-y zv36So-476>4-zjDC#3OCjTX$UlUGMyXuliVUFYXi&a-SnnjX{XHrE`?z7@K6_?4;! z;^W(~>C522JoK5xes$Sq`I3l43O>U?pa&vi*fu*qnlJ|l#v$UkfNh#jL8zUlYtx+< zkOX>$LdOA9=W{uLRFEQUVXLUW@+nf|4|lJ17SB9z;pTdcgO3jVS6<_bw;@7(!#t^PN$)=RE{;T6P!#xh$#{uJwLU;k zgTVXE_AqxP%eG;hl7}Nj`qiUIBkn1DLzEyiZ}RDC3YQ4$_9e11I3ka3xH+dmmO#DA zdVg9_CAI*>Vn|VWRb}Ki#%bi`*d^??K+CDh%&jbPt!ECkRrza8s@c?L)1O_(W{yWL zdGJyu52Pb79f{;|UCNTj#>ct*bVZ=PJ{dbi?gD0d4D^g%diPB&+eqiFNbu-*^C=wG zb{`#G3gn2N1dH!;DBcRoW5vvbdd*rFzB_} zujNL`WfWUmG;SOvux{MiTppWdyl%9l#{DrB43QU(_#Fb2@fnutI~@sfd5$|(E={LG z)vE(tIN~pnSl<^;0h}2e8w7*nmOhi~WH-wv*0%%LhPq4Z;tVE7WZvsDa!EV`<~CCx zzmN})WFlVzswj}31PKR?h{pyXyE{m&@ClySrk4b8sjVy?9MK5gobMgkaH_G zh8go!^&%^_Hpk!51Xu8w9;}}kV=jw16&!?0jRsze%+a6o-jci&>6dwYW>L+ga=1q7 zTltP6q^ZfAI6r$B>>DrWIfM!APTqtlWnx42zyf)uVN&)p4dv7FEiYslGz*m=O~AR= zX|x}0gA3*h?{%KXNS(s~FBv#kG-oMIal?=jb|w8{+MpsjjNa?(>jSN_g-4P8<$@Ph z+Ha`*rT7s-<$C!-15zm0b|JyZfY(Txn7dt>&j%HhxB#nrB+anF4Ujhl-p~Nx^J!&{ zlmuifWIbLn1f2r+TLxRnbCZ1uHFAmVTKv8@I<54|g9mQKN!_0vh{T{$oU)i0NB%c9?}jhS zQg`*^kq@4o)$cy1PF<(R%tm8?!0q>FJ4-LR`~pPJS7S8A2?bFFG|Y)i zP3toE8G(?zn+KQwkfq$qzvlWi9F57;q%K$T=f_Np>|Fy57^}R*Q+}1{vSb4Vg!P;P zZG%DnHIuU`0%C)sSLSzDRD6i4!EKV68FVq!c{4Iggu9yt#u-V8*WJ_k~v zE+3MYkJslI9PjtFCAcjrOV+O{_(Bv)o>!0JyFWY9d71pl<&c{n45PT+Qa__P3Ia88YZkks_}`e( z_CCTBTXKF;ooik|=GxU8Z8;RfTyB<8kzkGZbS*a>oL)P6frsxMLSxHdY)fY7q1o_D zlY0YYi(h$fQZKyKy-(kMA831FN~qqiGk|wF^NV2biLAD2PF3x3hn;f<#qCjslf6Qv zGmjabL|joAh^`pSe3lU0>%6SIdn)R`K4DNs2bazV@dLNy9D0u+G@7E!C*ja7HOhh! zjKj7fq$9ba{m5JR7)bEaA>hT)y}FnA)ShDA$oG&0B3uPVxZLpK9|gyc>w;rsjFg~?40xq)VI8JKe&5H!9Tssx%M{2WuC3!iks_%sf5HK*29 zloJr|cK9=v?Tr#>7O(VS@!5tCY!7D&*5^bfE2W&C>S{SNa=7r-A0&`Z@mvbo>7ME2 zh={aV9|XB5agMg>saL53cTL?aIbOX`2tP<43DvXDeZOMOjr`B6Q|<8fs>a=HrzISkbZm@g<-AP?ZqRrYyJ{#iBWB%F1HP zUh2?FxChc`tCrF2W{0rP%3Ft4X>4Zk{Zz+ClwTb6lO%j@gv01_Gp5$K{h1IU=ROX{ zc&efo6In3Zn4APPx(j+q7Dh zz<^r1pAN0GI@xD#@-k8+sN$F1)7dPQW!Jpa_PFn|4(jFcdVA?Ns_tbG1H z{FCbLPiRhQ!X?*5Z>ZZ6=HR(AQgwfDs>l)(@GQL#5hlkc+b0f^boK7KuU)57+p+XG zOgKrm=wDqLiTnNe`tfQ%h`{@>q~Nuj9uLh^wDnlV95~rNC=(~skntg_DQHH36t4|^ zM@5Sct@Em5(4;AYrqk(>U#BcfNSnZNU#gRg03|}#m)lME0ewB2K0>OzKX%?N8ZXuc z=TO%wPt%m5sNS3OW+aD8>@=0dV7x_R&~KqLpsQpNqr|BToa;f(l-v^c`l~Iz=7tq5 zrmtjt7N^>oACzP-2eDL<-6RTxrkL9{Eml;v<~=Hplea3#aWe`uN-b4q7nO7tpM5Q5 zu8tH&A>sq{_8;cyH#r~y8UNtVghaR_@P|Xn&ft2*L^?6hD?78h(8+R)HQ0lUaNk!& z8V+v6N;RaJ47!&dpS{g0*u!CmPDi-CCEn2 z#>?;Yf3T1+%ng+;Kv{bohl5W*DPmEs?j9=zW58zM1`NC@Fe?T{5u`EOQG}`ETUl?L zd(nF$yXwA>fL*p{`|%lG+e3uOI?*Bp16ju{89H2he^##g__B5q8RWp9K|87XcU9w!LU})XT~p;>>jY^TBIF zwj`JL;Ym}&r`W#tQ9g$;Fvp-0ZFRr>IRm>pfLe~es4%qby{ z@E<_8&}azmhaj2bVPXX8H_D&RMA5`M4s!Y3Y7%x|y|E422I*H-R=wh(US>ity2uYA4)5!SBH4h)#)Ds-_-*A4=9JWx z6d!rTho5J9)121kuajmAweYRPHqp||ty+iGULIWQpOJ&gOQ9#zTNmKa)x}esZhGS% zgI=^~dTy>XfV*A3g)a!(Ha%( z(wMd+of~z)?uvku`8?=h-30Go-v@Dx=*Je$w}Qn#5#}CMlh1^DqysEU|E>JwO*_=i zdi4y>0~Es}pA@(lBKlUJb2BoO?Emj;_p~uHIw0?yYN-3q)}Jqj-%y%^#4Utbsd6Vgw&98F()T-kE;)#mJ_M>1N+Q)h+c573~fhKluW`ica64yE^Z-O85L z6W@ouJIuLWfn=9-_0B#I=@PISjJE}U@cWfXZY3;nwgNJ3?`*k)J2IS)HrhkCs!708 z`Zh1mw+KWx@a)uoKfZ{85UOKzmoL({4^8zHX4r8NLsN0M_J>9ORfrTonc3iKk`5y5 zY-q)<$>cvl>xoNX?^uiXf zvJYXBHB=J6>7G9xr+UxkZP<~au^*#vbr1h(yMu@s7X$vLjAB&B?Kr>l$|8(O2d5Em zE`|A1K)7-HYQ{RZDqGnO&58wyS=|+6Q(=3W-T~4EDJVVFvc==M^Gl;pp{P44WDqIJ z7itO!6bUVYBJbjZsGC@kfB`zAN5>0(zW6#C!)4<#AJVz%U7NY(JyUUQzk29Mqq4?t z=n+ENw7jM%Mi+4iM+bIlRCMMk6;DV8vJhY_hPQSZ4Hg`@| zm^7v7E(u-zFL*Wk^gx#*m?UP{A=kZ27W=oOROzgWmLw;YD^W(JmaLP>n!c%U;3sW zxMI$fJ=Fzz#dchw)BPnnf|Z2K4L}sy?7dnM2ZX?3%|;Ubsn?FaKhYd(z19^@UE+7< z@?HqTb+RscJm1cR!ivg}@o-?Y? z)bJ*ubVmAZkySdOm$*-DGNYm}sSlb7w3IX~be9A_Rq+{@s`ZxKZ8}JRSxVxFG6j;Z z-?Y!dN!b!T=8X!r;qD7m9P4mKw}Z8XG2 z^q+|T@&j~ydffuhCp0IjTz4#6s*RWycg#R|7es14e5Pq*OO33TTBto~WlF4Q2xiN( zlzJWPwU4;bfUDe5Y?g}uS+Jv=ekh=jk;IvQ53PnT{iV~k-f~LxUgIcwLwBu#USdBL zTz|dl-|IifvRM+&L~NKZEW9ixJBehlrmnm6vSLXkkQE%fKG{c_b1(Q+!r=P${40IM zJrk#|74Ds|NrDvSrYHl{-9Rq@HwTQ(N8P<$&Pwxw7e)ipaL08L)Qs6`@khLUz9D+D zdGn_}^fl7+HaFMENOM)pDSGedl{Q-qbhnIV8}o0a<WPC9_=VhyvO<0hft&Jp_ZrD#;mSz&f(VXZ+{C7 z%0hlSk>SqJ@48YXe<1Pqb+g+AKY+R8F1)MnhhIn*_|}0*$U@&~6GRL^6GHezU#2Z2 zVgsBN8)u&2BjrpF_(e^otv#ToY=!EH&!JPZ~0eha%7WA16770E4WI@r*|V5!PvXG%Tuu^HemX+14ANF8+dB8a=eVk4Oxy1a>ucC}C=vIK_|4 zs>N}0aY%+q&)&i2ZBH+?Y5hoM1|5 zf4>yVD%)@T5dh$xK_I^_LAK?nM5TOO`+5}ZDGc+}8)10tvVX8p+5OD1*4jkqGuN$) z7rD>4&Y7_hT<0Qeyp&_Noo&7tL=W8ZfE!HKV1OS(&w)u|AnTC$YFF1aQGj@A#QUgje_AUlZY>!O0@A)EH zKD`pYIw*%ZmxK8X19GI6T%ktwSAC8=e!L(wIPB*67H2qoip%X9VRkGz%c zlM#K^`AAw{dSc1dA21r@2r>n%sPyPZ#d0e&M3<;CD@KurWO_1+l5u|i7@&AkO}1t~ zqNF_m%liV@{8tl9tusVRF`!!%XFjQx-`TgjANwaSJSljDAz@N+7Mx7$-qNK{OSTM& zgehSVMcp0xwKkVCNg#nML<=DCsk%R2#u;6HQAKmFQ92`#+T64ecF3_0nD6ZEZIskB zv%cVx3IS|D<<6r>l3Q@v+M#8)i;=@g{u64JXR&~DH>X_{>tURHTt$?)j$umuyNPgc z6J)DO#Y+UAqZoA17MRs5>>F;HqhOaP?MZN8QKbmD3X9Czt>o17C#mshc@mO0$i+vG z3@tN*%$9?ladY~(^0hY+d`f4Q!>znXa$(mC!OX7;(|N@K8gVYYaHNp2N&3Zs2gigd zVd?EVlJFEKyso(Q`)NNQsmIb3d&FfZTOU?fOm)6D=UAWtxgtk*JywKVZTltCL=Atf z70fKRAP@@~TDS?#=V4IZ6;8^ei6bvQi;jihI;LBKeu`udLJZdr2fLC37A}!aK0^U} zn`r}v6ZPgQ_L9lbig8&WIUSd6{615u)6YKxa|zzU>q*7ANZs80-V;%gB#}&Ap;+^K z(5dz1_Tr#W;$93%_!d~^4)Q(UVf$q%=ziKAh^JKYAC33jsA*}_gtZBYmYo?oSCOOF z_@)nbtla;#$!w9t_sLIp^6NQn3(u6|pLj5J>+au5$NZc+`=K_`bC})>+zmg{{E?h2 zx1>x8SPY?o6l&=HTu5c!E927~jZo>{@L6rRf!-A{d1pMhRI~n4{IdRLq9YFG|LAZv z=a+}@duzWr<8Z7*|H&h5jN4`Vfh!-3#O=E*Xtev$OY$!|aooRFJ$C%I>->uDqVgOF zP9lZ5ROPejD5_boHk^65uVr{!>CBQ-?}G|`+v^VKU+yrVHS6MbnKE|=XO=(eMbwq$ z8kM~TtJ<^}(J=jLsW3HkPv7Cku$(HS6^q%iLI5V6UiW*e}WyYG}Bt1`4=r#^cIhBedbv)nxmMXjzCd1di z!vaVe`9vCR$%pL0ofH|G2MpK(P1~~)SF@|i1duPu!EH8@f{HFk1fJCs)ZH5Io4tD` zZv~iU49pB)1~Hc6Z4G=e&Ny)+fBs|P+3D}pl8zbkeNU%%yz!pHw2yRYR~KY3iEi&I zM`Rr221sSR651JaX0atnEhlp&spvGWR~06sU4}Ijfc4Om|K0Y<#9tIt+eZsay@GPu!!l8eTuTleN~Qqx$EDjq?O9$ zQ+|D&Yo?x9pT))!6t1*&q1oc!X5PKq<15{nclLTl0z)RQ;XDKqG;dz1yCtv|8G8#4 z^=4A+*USYzmDb)zCar0mwD%wUsel)$wI%vu5IN5@;ag5yS!)ZgQ_R)#L-#(zC5bY= z3A?;Lx7IR6Bq|yBb@jzkgjGhaR+z|zFZCRxX*jo-8(Y$9<*Qk_e*gB#iplMuc6O*1 zjp2rIl%7C@*qpX_n1nJ-0d`ho!;Zocphq+3YFd%zzV=O{br{4^i*xH{-20y8aj(Hb zj%&*)=N82_V!X*G_DWk@zHURGgz{toZ%a=@yM0Adu3wVJ)D9=>36pZToo~v0+Q){>03*rbv%zp0_P&43PRjHQS+GQwI72J z04tMyo$gd2C^5S-MS_%yX}&YWr2WM6POObu^wM4OYqo-t=ft!#n2YLlyWRhU@3 z?5X0>XdeuJhhhe0NNQ;bo)&_^#yBPPkDz8M? zqO~A0_2nL>L-osJO+P*##&TiKn+!cY_D!8Uei;n@TA ziZ%HtR?;`&&TCJ+?cz{b$0Cp_!}WSX`M}8tRMB0TPsvCsb|dI3H7$3yaSj?^CZhWh z%Z0kGyYp)m7^N>s`fY`|)IZf+TaIb>OS=qEOmw}sIdmj05x3e4pYMe#l&oMBs_y9I zjLXa>$VYv~V0xEq+9E7*1LH_z!VHi*#a)c@aPqwnP4YdJPP&Vg}Amx zRfu_dxov$$>wBx^PsPSTNL;i$TO@VqwdcoROJlX)AGv1^^e|tFFJO_s;-4%qSb8|F zb0&%wV=jRM)t|V@fPs5@$$`YIU>WD3Dpes!^t)$MgV-Y5+-2@Iw^j;0o{rop&nQGs zA4ht`&_I8j8v10O$e@g+QCk-yr8}kk1eF)p52>MvJ?+Kv=EGn8-oKmgbH=UCpxjTY zDcxHz`wTPBwYh#q<(nHN(Gz#@mKltPrW_6eRFDu?XwchN1}`R602>V^={WTMRrjFe z#}rY9SDk;YWRhOD=7zA+X~2h#7UAS0(mCT1TbcgYtvrclbJ7Kdi=f z!yJXv2Do;{3Pb6ev?PGFnmA3&Zt}mH>t=Y+`7U;Q6bSf(dow z9s=)lW33V&&e~AE)orFH#%AU)Q_&T%3Qm?9HqJQ^P+m-fY9#aI1%>kLx)z~+x%Fc-@P+$9+QE1&tnpYxKC_j%gjQ(G$80(M6$ zEy6DcbPf|HFUo=iN!7a>!-_!)dP6}=D7)`6gP;X(PT#e9*FM7@u`NMV(sts$ z3iGN!HDA~IPWhu!L^5JEsE3q{+rRDqUNP&U@?>kgg3L$So>VIKx7f*Fv@kc5S3BnG z25qe84eYww!=gtHmG8Pl_h8K9>mH4Pp8id8)-P#?Y!3^H>|aZB>$AcBx3)Ot-0*ua zg>y=M+3O=p>qjT|E(>c5({YF+XF5|V_kf$BA|~R~PU24!xulHT&vC>DaY)DzVc|1E z3oM6QZhz%|2|$q!SXVV{s|O&Hq9X5))>|x@>G`KtP#mA)#;@jtav&YlF)Apy_!1C> zb?mrigN3Trwbhu<6?+Wfq7Oc3!^6Q#YKy+#&Y#o90Tz+s z?dkF>Oq(geaq<1|c)C+stc5R_ct?WcI<{wTOT}Ft8hElo@MOo~$u`e@8MvI$w9uU+ z+;>lTz|y3O>_=W8hw1aIf0@MdDcY$*F=)r}{e94qhi)a76k*a9ex!D)tjz?V6pN~r zWL!w{O-tPAnO9Ed$Ek=L@t|0E#%*)i%v1tLZPZ|jehzVbJPoHxb*epl0tIv2PgnZ_=nfMn zLnBN^x;j?{0Ma@LP*AZmOU4wjMTVPzKUp#uIrw`C@a5VSCJh{`s-P_`9Z|vOo{Um< zvY(pB%v(GLT0P9fOP>j`VrYUalei{AOIk)VVZ!R42bcjTsD5o+P(I{}J|DtB9xig~ zLcxBD%j+^*3RcoIr&!yr4-&48S#V%}dq2yqE}N8byCs#(NVu!rwI2D1WKr%N*23`M z@`JT8aDz4P5G&eABVQIR4#2Z1cyBZPnftmJ(nGw2e$!BqhjlU>FVy!#h&T|EY%<`n z$3X=<@nNe)(4nT>F^!ycnIhriU?1rLr=KLT`8Lz!`}4yRl%?h$))Fwk&NN0#HhtJ~ z9L%EtJ681IoLRFzd@z6Pcfh$Uw?5_v4Fr~#!3_a_ zt|1V>a8r50!)@Bw^Q{Z0Nrtb7l9Rlr#Vz%d%_CZ48mT|M^dV4xdnZn_bM#pQnXiFs z(?~ZE+km|Qp*S7N>c$Vtqy#go5h!5KTiE(U2s0$op+zJqy(BZKFI9*PLrGgU*4X-< zJ|dNsDYu(Qhr{BM-|~i5_X4Ey-3DILf}hF_so)Z!f>XKKoNzvHoA#h_n-fH@U%NF4 zZNsWS84r1 z<%OhroWplD5?@+m2O^C-ynf`7J*iuOlIFgae{z!2#Lp;U`f2W4h6ymxOtJH#R>>*z z$wsCxF@7|~ccn8Owl^M1WLEsz52cc}@9B&|^)x%T$-}W>Xuq`r0iWY7(0J&ZPC}s~ zAHU?ec5<3KeS4edYvM`sE+jSrB26zAgT!*g>+qB;72RkVlnE0CF5Y_&e3Pyp_8zqr z08iST`3t_w4Wi*Q=W6j?cb}9n+<&(&Wz(M>sYI0h{n2e95M6#ewJ%J&+|e< zD5+eO_+7>q#)L1V8?J$i_lb~>*1>99e)dF=G0c`=GPRkU;LPDrEPpo6t;@XHYwp*V-ax_F z!&;xEhSIX^7fc;)L5yX!+!vds73US>vTEd0bb*gFK}aNjZz?0gDI zeFwJ~ZqZkBLLg0B|LEPk8%+&z=o+)24iMg*?loW{YVADAWXFDbD~=qI0JQ>6;oL8v zzaW*6-=ZaA9(&y|kTa$5%!K{<@9>|!lEpLk@^6E{wuN@?4Ly;9)7)SvyvLZBF~mR% zKEvfAe)IpOx@%?%+{G8y<)y;eIS%kd43!N#@j8#`d8#0?%5ahK6{ z*PX|TPA>D=BotO=*_ZN;g}Rses%PlEGOPoq*NNj3-$c!l-or;(o%%&Hde_vn`BX1N z-Ll?Uljwnb*0GUS2qwSpVkSqD0TtZ~+`tF`3~nI|UZs#*$e_w8?Afr= zMXbGTBae&DQ(>a=GM|0xOn1bCw-S=Jj>B#0&DuFexHik<#8bqZ_n1Uvqf$P+_glSA z9x)s~Q~|Kh)|Un_xgG#KOY%ka**7e{7)@zec$=E6J$LwJHr zgr5wy1GK=vzHBeju7Wu|1N$|h1sH+qwXJ!!BEYy7nwkLT*PAWA`p)lT_U{>)h8_?_ z|5(>#F?6*AUO*DK+-SuNTv#QMWiZWv=$YP&M5>bGu&pxijqv$68 zK4|dMePp8Nx6HIDvQjJWyRuSrlGN{mx~HJ^#g7FcgI?fH`(`0dI?B1$hA?C1z^)LC zov+u?3bcvV%*a|<+j7U69bL7h9n<9CBTa-HQ&C86y^wldq;5z7y-9b9N`s8&>KR z5A74a9Mp5QkJ6BQRgN-Gl-8c>J`k_;z+#dDQoU44AsHl)-I z_@gV0Ye@h!f(o#|Xb}BcvOK&Fjx|t@wmXp0(dIGibb!ENcpd*jX?$)is&^v9*5;pW zIacPro&aIgc-^#7hzn-00!G{HEhHe(BcK(+>a<>5K_WEI(oknY?c zo%7+WQ&g5BPz0IWva6p&Xi{7NZLS9};->o66lf-%26WW(e{Qc$uhdpl^!XMg`ehi; zx!Q37ar=qCsC7 zk#Esxv~MqXod03i@2-6c)uG*b6B$*|AT#@sFgeUWUg)Wz+XU;{1Hr1q`$BNSu{(bN zGvwQCKOkI|g0R=|l<-B9`{&^Jya4Z#fc0k@CBn;C`r3slaaPOF$aTFS&>9Ajuv;tn zcYoGwm*NLPa`riY`o(A83J00|=~jafcTFCpcZh#7`}xF^%G^s7=qP`CNwqDe?$-3Sq58yn7_sn<)J1X-XM`VJ2> z1TJu@%{Ab$d%38n)c0oz*{tD&WmjowPL09^&`vjy+I;IEs1uWpaBSIfy7%j&iqmh? zr^b{)x;{0{Aip;B<%w4ltL3MC$b${RuXzXcaCUU75(H+2)Akbw&pKp{+69Xp2ed=2 zoG%!HKUHd4{bnF3x_u<9?>q?Q$C8wVIf{CHEaviE&7=hWe29<1CODb3EqBW@K+s4T z!k*f9$s_taF@yymG?c=z#;}(Qkbo3&7HNJWB~s{byEn?47a?ht%zIGeO1@DHk}mPQ zsG{-b&<3Q#;urJ_n0quPY3$hkyLI+#XE)4s766ftM@`0F9g$NBJmlcF-e6{XtrZjL zR*D(51@1oaPg8*7P+{TQ;a?EQfeD5p+jtGq0&d$zj}o^yku$6u|kki@R);$yq6qb|;CsDVQ2;eY#;Zsy}V3 z5B#%B-U90ydx)fWKAW#YpqhU*tJ3>tlI)?$Veym*IUzP4_ex8HKY`@^6eD+euBLqa; zGBS$IS6%>UN}1~*wmSIN0Lu)R57g>5GX)5ImE@1ska%lm*LhVLS%1Wry)60iv?7}uo$MF?7E{iX9dtD?!%Fa zZrkK|{~u_>3}B-gqqmWBgk!jIN}RIw@k6^0I}s!ERzIQ(GrzxGrbu)#;X1wLm^=A} zUfL*V-)len=eB~++O=z+BZ=YZpH~2ra#zF}YLdoWYf`jFYc{TlHKSX+(TDw z7sLhs02Y+ystr3yhKa(KPyR(c7;pfr#AN83#BYmnG%IGIC~~4@s_cM$`hzBeWD z)moeHR?vMWiz4hhle9*Vo0$i&&P<`6VgGr%IrBe2FSJ9p6*cM9Jt(Q9tFx$(HUqf| z&2o3Pd$_C+Uv_2nVR|dO2Ry>WXBG{GvGAtvAZ9{Rpx%VOW%Rmr1#;fR#pDH1L7{!! z@1o<=0I93RMEwd(BHf01D>%ZA)W}Lo5wn&mzj@YejZNJRTYD#+eV~!00^yOTb@};p z{#g*pXUE+0sJV&fyyj@V66)$pA9hk7-fd(ee4?oC>=+n;GEgr_1k40s=NR&9PwzFHrsfe%%dCkmWU#j&ze5S*L=%{^P;orewO&)cq$4yOMYJ%naqzS}jLaO&Oz zP* z4gy(G)%Y(jmls2Vt_=;3W?s?NbhZ=T+LT|Ry6Tij{Oksp+>T~EEzXc{Jy8H{M7s0B z_dOCWsYnak5X|q6Gr-RD+LsIE-D+O<JY;3D$+7gSkKft!R934ihYBpzcE zI7oM~&@aY=;|guv{tGAX=H_M(!~)e&9@*nB?j2w_AAk|q1HV_-V&Bq)08?RA6WxZs z8QU2iE$jq%fSuA&Q)klOj!M_elAX@Q`7%EJnM_F4rCbRp^`Qn70l>WfdyU;``WJ*{ zQG&zh@RMc-yybGx#r)>$$>6HtxIWkmtMz^Ye61CNY9zuvk#cq1xryObL|F zsD{A);YVmT)bPeiD$)L03ii$awuyA8QzJVvKHfd$f}l60rC69{U<%L^B!Q#c{Vt1C z0^!9qK)xX5deIZ6Rk5;}E@MVISAjW*X2HdOX@m2Ps1T5$2n07aZ)Lb10mT48LQu#^ z7~yHUM<>t55UG2wxp7JCJIviUkWipK;@I_RjZX2ZFXxm_T+OwX2`$M@6b7{T6xsEG zZqo8;6zc#RJG$cFD9Rpa2D-eO!rdE$x9nKwT&egHLaIQ@;lw?&}RbC#xKqD>) z4L4dO$Cy0R7RO%9pk=Sku$?VvqLK<#wh!=?t_&y$SzJp575&s?!_0M6{|H<-a;Vo zxjeY5u)0eLQz;EsSP+;MNB_;|&LNnC!;i}_|D%tlyjT+Zv*4<}-nNRy$(^9qS8?~V z)oY*)E(nAAjl_H7k9q!5J6?>CJYRZ{!@;>o58w9d`jG2DCi+i>%Fj1{6MkJHHS}&f zd6KAO9ccr7Z(esFKl_*Ofb(xLnuFI(jYvLDeeQ=YF-&h*GaLI=o541n)J0&E)oITz zoyZMIv;3a7oQSnvQP_ry3wV**JjCMl^1&d}dr&$5)@3a{q@e5(v$rJx`*Ng~l`0*M z1JTh~0_Sj=KM;roxNGpB84H}teccir-=36a+rOHH60le((^EN2!CaFU?Lw07*8Dhs z8o2Q?xNVO=j|Cs5kF!uS>kpDbw|WHfK~wn{oUQrl=YElIB)p5JzZZ)0{n~xPq*|-t zOIITc#DrZ{4}}Yp6Go)35mO=eywnh^=05ZZ@Y@%0_-UPw9Q0;`?dl(FLrCFJ=^J5x zbLIMuRhUU9&4#?$t(3>d-^S(IHm$ApXbFF{9M{&oa(RpnWwZIpV|i*WC*=clDUg5} z%>Wcs<8eb3L|Z$6p)vIeD9}E~?a#22YeLba2T%Y%*?232v2fI~cAAU4SvhC(35T<= z*Ntr@#9^{szsXJKre7 zA+~r;(+dvqkv|#mXvTo$ocon4?|oOA`LAKT#HC8`u2^B;YYytzP*NKK`cj$@q3~0= z8sh6n0L_Q-E$g#`3nJblY|ID~HUe_FsNii7hD>Eeoo<5ujs=>K`F!?tKb>tTRr0yG z8Y5#FJNRjf3ok}OP%J#xZ!NW8S)8!Kg$$FD8yjl!Fkm4ph5sRSFN2KuyS_}>0pWf7 z*f2e~RX-tSo373EpF>Q^fvy08*tQ?4;=}#Dy@3R6x^Ge7+XkS@c}QCMF~+Wk)5`Q~ zl9|`@9B-xwBe5p{rkd3>?L)pLdbS5j4P2@eU_xH+OeiqA#fymdsE959GUQr}do(lu zY{qdXN3K66jxPy%^)&EMPn1gsvafwzSVJPYpGg=7SNRCl63OC0XpFS|Kw{)7Icwsj z-#}J!{6pSefa+|f{mdf6bW4Ex2|%BboE7z&*sYAkBy(t!CH} zUxaJ0$`c>93aGWH-EyR^4qSjGhwr+0R4D5N<|=w63G3EU@a#RHi@qVz<2N?-Ji<{M zw^mK!jN40A`VvHJ4<1ljifZ2Fc#9|!orZ3Zg5M#EKB9zU?wQm~vN|3)Zg^r>-8lHK zax`=GcR7{+l_fcMRngCQfPa`*H&r7r^oNuoD(NH0z^Z_q*Qle)Vwik{3W|g(**#?9 z(}{J`mQCrH+5mz>F+fA#8{9bfl04j^gT$19Jcuw>kLT6nZ^osN7B^oL9jicL+EV{m84&ldAI6>zSm z&_5p-waINt=`S$)02#SxYw??yO@0K{au|h4fT@k|nVndxD!pGhSxz^OS^Uf+W<8T_ zSww#j>#B{;SpZ&cr$8aXND?iftL^Pls%%%>iOi=Hl|`soGW+oHWJGaDpu*Vxv-xF6*nt5UOn7Tm+s z$S;@B3{rF~#Kk4E37hw|Lxi)5Z@Qf1*ohc_6WgjR=7b zD$}|_;U~RiPs`ew%6063i*2~QYGj9^e7K9?>{9;47ghw@NI^b!%^)CygeqKHhzTI2?l`QS&tEymxO`nCn>YBwCg(Tbm46}1k&k`yK?S#ew2ej zk`}ekRrbipffP(#aiUtJe{C_OAF2Q{N7`!Ri8S?5sDFM=zT{*qV_BRW%x+mTxptWB z+K;7vT4|_J{Kh1CKxS+#?}rhk!5ya9-mtm) zWVMy$Kih`bc~PLxLbBRY4iRXD>@djWgu&ce=GGDjzfuhHGeKVc;#WZ8skK$&#?)3E zNqvap&Dwm*WhoIc5rN!-w*UC#GU4Jmd*F>`lB~`F`N8lxL@GxSF7E9yr@?bbMj2+^ zm*zPqu)&=e>n*9}*2NR!=UUJM>?Fux*8^`+g|Tx=H)~-weMm z_Hd<7ZhR=d?x$yi?uC`DHU;+(E7I(}Ld+?s#85|YEV46Pu+BpvMCDZ zCtl-s8Jn{r%}^+dMYyeQp}Xa)F=fgZIH79iDXpm&(iT(o=k z`63|6yu+$_3`X!EC~1S;mMvRK7cogt%oLqno$)g^wK9YL4gzQ*3|@_o#1~!DUq>O; zd~7&91^T;uP_Q^K+zA6?*UFCpDxwhD*td=4p@@)VM56#_`9dskw{s&$os&gQtlFSZChhlt$8710s0b;&X+(BR06cKB7|hF6+=-rYTfF}6k5Sq z$bl>3y`=9EJ6DArA|R4!A;+w%j)*l6SXA^$G zsF?{BN^e`u9Mmg=Vz!4_)*CIz+Id}wxyt{?pe&jepKLI?7Ir09uNK7_aVFGVj?v6` zFMDJ-dF?>isR5^33pL8T;=xlb|CDO=AA0@QD#gG_ktsesiUH-|CrVRf@s4;!3<&!R zc6dQQ=i-Eu$$p!YM67(n{J_+`ktO4}Xwv@eoNRe31m)CyNQb4}xy@Q>O4jaVXXjPC z&aWI&iSId`8S4`FD+JdzpfnfCl;27{ z?MnO|-o~H?qO75!e8!#~F-<8mmtfybjV7h0Apf&3^0LG9(8m$z1dPIc8hvGw)f=|! z#J8+osF3W8ma99<@O{gc+rp}K9y|3i0+im%j|+@Jqvr0pV$yoXUGiQ4pR#aog{@+8 z<%O5$R6r7N^j%w$BIAeo+=Q?EL7lCoBC)>x`nhR$w;lNqNln?ZxI=&b;VXc*B*jMG z^>7BYlrkip4VUukn~)d7t^gR352VYU!`!<3sQC2f9@U^uC8gc8T@+*53Dsvo>b}A^ zkCtf2lZ}2*)=+^_L8cQ4}}I&z!^BeS`e43 z+fIOdECR3)swmQii23VtkNuRETQjg#@*wFHD$SaV}4kmjd}^%e~;Q z=Be5q>`ah2JOakkSBTPA*wud7U<2Uynf@ zlwAHI_1Dnt+bh>`oQ6YC+addE1O_vig=jSK2I!+e0rhchI+BW)&IJqKPg?}}x_yS( zk*i2<2>bn=k58`r?{FyJ@E!hN^k)Ply3-A}#wIPBq0Y4VuZBe-T)|(`#!@wHP_a|Z zx9?SFOF#4MAKaI7zsVB(BXHP%C5}MA{S}xqm=u{(Rj0-k{IiNdbsTiZv=hLiMRw04 z#F+c4;%rC5QGbNX!!6HZsfAmZT7R|<=|fZRYf3?T4E5V!jtbe)`Ji(f5`sj-Ia)HW z*^{cDeW(K1oZD9dU`r(1TE>7vpicHB{ zoQ9_;h3ci!iClzZL*Q+lsZbE*)=k71 zzl|wCtyqU63UQZkkk2WM?Yx)w3{^i56Sc&hj77MvziTQOmQ(MbbaN}l&>a+JNbEK? zMmNsyJp*XW7LXNjbJFK={k~ju9bd+A2tH~PlsD!zJRTt%P5$ov*0olRd=6AJUO_={ zJLRU4rb=r2Dhz8642TF>L*c5w@aodF5apq~5+j9u2S{zRlQEbpgM>EGZ_adI{9g|% zyr_H+$z#p4U6e2K^BJV8Kj-!>CT^hUGgf)ndZ8lMN_iIQP^`be={!J9mkTl#@{pe? zt;AT%+x?RF_~BhLJ?DA>5p@0-#`n++@XJa{^a7dCK`a7XKny^58h(zS8hB4ixpT7X zPMaqmE+XCDVmZ3wX&Uo>0$C?tp<; zZ*Fdp3-=&T8sdi5k-D%`kQKEoo0_#5tsxeB73W`kCrizrm?*jb=b>2m09+}{_C`U) ztWH*x{GV+i`+nnn*)nMjbh(t>q?umNc@=T~YBue^U;dw$SI~wpNMB zvSpVV(+v-*cWj(f_FYBi>X%y2biLj|Gl#0Yf#!?^@<`hSn}Pv>s-ecUBlQ^L0~=r0 zLQyUdi)aH&p3evjqiYQQDQN^HWF}7kC1mdED_5>WtuHiJ5$ckkBXhIXP%F*b1cwYV zx6Wdu0=#rpt0`&`?(|OtVCONsNKP_ab;(bdRv?J#Y4z8kYmo^xd_9;gX*&W9Aze_= z9?+*L>OO_k3D=s$P4Bf!4WK8S&+TEhD-0TL(6b2#G0JiTB~sEDb&kNm4>|U z#^SFS^AeNsb_cmeCksg< z7>)WXYyTi!@&}9i54h($U$wfWOHj;)FwiMbbQa+QKy>);I&TjEp~#7>^acq2Fn%}q zy{}O$&v^gMoOWe=H#6JQVkG3p} zIcdXU)HpCLW%~#Fi5Tp-ap?rOtc1C!`#>b|0YKIGT{a%|lrGMsBq%;BY_g&JpK6MBctIvdHA7#P ztQr}u4X(wZ@7s~tJgcV4;i?ZFHa*R&{yZdjRc0W~@26%9sapELM!3mkYE_H;7<+@{ zdqL((_r=ZsElSc2)UPya4(h&q*gP^UnZs(xf`};TITQb1GO0`1*MAfUNzj$;&~y2| zF}x_M4syL30;iMTv}jF~fLxuDLx{0blg0$~em7JbD$lPU#Qev1-Z3iv4(Z*Y;DxKQ z9~`4x6Kczrf_t#e)et1Lty=@cZR^Vu6xb9S(md?VZygid%~OhE;5%8sq8Vvaj%C*hC(sKB1KWlFDs+zxQC!> zFB)2f%K7&`Ue=-8Mz(+#-oWBCor9qo4?(|`GbBvLd`na61uekOn1u8Ib|Uf%k4M_i z7mjRDs~qhcg75_iy;k&7$0R!(!&BL5@l$FIu_MIaeXxU>#w9UK{Tb5ceDI{<-LraY zt{-kXI}T1VM!O1-{d*uKyh$e7oB>tRd~m)MVptjXv66aP+J;*(bhbu;Zxd6X;sPKK z!a#sLnt=30m?uG4fMeBl8Kq9CSDpShJ$CW6U~Q5{IdhC(P6@yH?i&t2H{j#nh38m@ z-ofz(=2?z9eeidc&HNN*6MEk3JK$6M!bi*V5@tYHK!TC_jzT%Ld@}S9QRwJh$^mZ>_wcqn2^gF?# zj(dZPYi2j}F;boe6}){glTB)%jcatXaDFDruPp}VBWcFG#%kfqGRdxuZF?>waA-!Nl= zC9AFiA(Rq8$i=Vo7PP8zyYlP>_DK9#U&lKMAC^5KrT^hm@5?uw!?q~`6n7nU07HW! zXsJa4JKe*Z@P{2B4J|*GjBjImMQLsOZavo2bl=wSU6mWmRErj_G#?|nc!XjzX+f4E zdeFzh$7`;okiPT@MepFw`O(gEZ!y^{k`#bsvm?)z3^zWi0&-2jn%$$UR`ywX0|f~t z2oPR1r-cqX@F)M*Ee0+sxzS<1*iSZFDg7zg)pVfMJ#?QOs+<(N}y+I0+ygDm58 zDZw%_o^(aQ#k66ZNrI$INZ92Z=0QI3DcOSVoxqFwkePkgQm5qR&lNeO*T!|SZz*=l zwcbn)mNZ-U*KY=}e0wUj*p|QFc`|DU^|=qkP#&%M+6x6*-)|+0Gd3Vmm7>xhZ zEA)WN>AHvg#l?(xka5=_|7S$$y*PLd%}v#>@%*#kv`ddIq75KgM9GKyDA?Sm57*M) z9OV94w7@UM$_g>&mB2K}2gHbj_5nJhf_`0r?>Iz6u2b1}mzH|*C+~i6I#ntuY;?97 zy}Qp(NFsi;)E%V@&KA8@EMRnH1rz^aT%hI59{@Ld$6TwN!KJ}hxI!xUfBp-EkpbL< zu)HXox|6d?M;GK56X9UK7dv(EuU95O3@aPo5QgS(ltUN&_{$^HmSX=l1l@I!AUz;L zjt?Y2W_{b(*s(^*ex^6l;r{!BHwWpnXrE0`DBm<_ne-nPfI<>_?KE^=FW){dP&|0mydMCDov zAOlk1xFl=_PWV_L_PI_au%;2S3%XviF&#l2=as7wlNVy}aLDVnVhMm~(wQ0|78kcv zV)2W(TBEJ3RAkO_3xIOn&O8r{r?x@@K-g;W#_|*Ig;th~XDnwpL}vgkP9asWHQ?e7 zwaaXjI-n^3OAN{AqX|_LcF>{>n#zJN;)xM@NxvZflJUlB@^ci4A+=s0;07zWRrFHa zF>Wl()5!$!_svE*&>K66lw2|ep+dPOrYn6`?0U5V%(Su?%!zB`mZy<*^ib(yLAD`) zK#LtsXoIS-%qD)SQj9-DYM*zG!5bU|JbpO1K@Z2q7xYmKu;65u`x2D<#g35L4%_2S1BS(z z8*77P0i{9ELuk;WHFshb+_95WD50$mm&Jvhh$fzf1*#ws8nqY~lxs2qj{(+l^eQr>@5h0jWES4VMOfW05$Wu4rj}Xy2a4T z*(%!!Cf?1FBZYDG0*cXbbGUe}+C}2WPB#%_LgCPV>6{s}zVg(FlCP=g>g*y@9ZKEy z8cINk!M>YWgIIwPS(xZL{%NyTfYC8TV?3JTi=jKlV6UDou9_-z3}c*$Z|u51oSlX? zSd%cI1RD;GnvW%GJ!QRIJl4lUgYrXk@JhS4%JMBK1rwDr*T9`-!j$vS$~_HqIUm^Y zU(ky1aGVc<=G;oMqmzgJ(_muDBg%*gCZ*si^w9FMpoLa**18CXOPzp@hD@)CXTd9L zow)V5YY@+vB#%m>8pp@fuKobR$W=&Zd*XwIAAnF$X{wD5B8Dwh1=;k`P9#^Zf&p&p zUOhRsiUu9EiBiQP+XWDK+E5YV&)@=l_90@g^tu*iOn=r$xRYQ@5RJ!a_Mv6hHu5AN zB#L_}9WHTEpa0r$)l9SjS;h2rB=gZ+)_?|^4#j#Qy?EZ;0wPObLh?lmXNfRAA#g_F z34x-2H4|os)qJTd$$2Pjcxilm{G@Tw0mW4`K+PMXnl&$W`q_n!WFC4;qrm43o@S#~ zls^sT#|IETzqV{{bs+VhpH-*0jN^=Jc-=0O(A4qyB@= zMXda!p@b=fo`5;d!7-7}7ya_nMSqqX&mSF`Ux1kh522y)6|qp1u~-69W}{NZY~_&r zSoVi^}3BJPzv^b5;C&A_BTkvkTeuW3d_mynFD7Ih)7n5F@97=*zSJV2xx*csWB zNCr|3-xGMr>#>nf2Xwb78vg=p>fYaSdLqsdVA~_$o|XY}Wx#?6m}UVetBCT?d4W7q zF902R}a1rk1I+=cn62=fE8Ql=7TsnhSAPt>ic?)dD;iShk(P!q1x$)i@Cd3Nthhq!EqkvDTba=yBHOIltIO z?=gL$G+<7mE&5AS?E~l2_I12DXDNz}yG~T(4Uv z6378!79RW4f6fqXFpl8Ca7l#j|e*R434NCRrH-%bAQl zu}Z%W1X-#(3)PW{brv#*!*w$ZXk|92B4hpgt5#uRrhxy=8*LuhcAp9$7e!PnVC51E zLyFr_ma_U#*ePlRZnS2sjU7XC5mFA>c^`zipHxk{_2)Jh1Pvw?UA#O5xCs5sK|HDDev-F&DMSoI*($_+`P!}%Yd?hc*BvcT4dBRUMZ6Z2Pn+7l}5 z+hb*RkSAlOkkToXiPc}*LPPY*b)*Kh=H~*CNYtyFJU+*8GC$A&ZR(dlWaQ_o zBzdw8XFJq%;=oHDP`i?yaV8q90cpnqaM!_v&p9Ni{O%B$9vHc{riTs090WwoD<4AF zPc&@mIy3!F&OyW5oMh=o@E=C=yOAu_BJCKlRo)w~n1q_;bAa#&kmz-(YNpN^=FGNG zgJ1STi#)mg0FHklpMzXH)3-xvb{Mpix-W(B$_pcf^NNz)AnFIar7z#qYm|SUrFU0+ zvFNl{MNjZxSif>*PRg0H&PjZ4!*BL;wS$hFnl#NodAbf%RR=S&);$egkP;CQK6*}J1gOIItiX5L_DO%+5dRGw{O*Tawy zvi}C|CzblUQ>|g8`4|-qqqfer|`5QpJIv-5x2eg>D;UnOF4Llwo&mWCHQ3H1?T7W(J!vM{ zf5_KB&uIbl#U28)01jpT^=iWS*Mlg~@ZmiL?ky3<(;fvL>EA8S#p3BRLl6$0X`eOi z$VnYBciku0Aslv4aRIbzBoe-Z%!EC3^2<@vP=5OTMkd*Z715Gv5U=)vxjCFAhxAka zzv#iQ>nS0lHU$I)u=27)IL+XVR~s;fDMq$x)lF|W4aa_nc+CXQ!2~SU zxijF@QR8F0jW{pyGuMJ4Jv<7bmU*85yba>T2g!v9Ur>c~NXajT;I8{&EmeACF6dx- z7)%K&NjG6oW(wt2V8(LuL=d4`KJN2eOxy7@PQ$iFACD6mr%v$@RLaB;FJSr^nm+&ABKif z4dOO0>?|p`bA_3vB{y?2y~$6E!5hA9JY=&i zF%wjQYV@xIIV0?B9=R)`Gbw&Zo4)Q|x);_}IHrPyM{S#;7m#r>Z?qhZlC(8qR;nGV zMFbsad&T3gAW%B83mUvY&*M79scE4TcM6xc)%7e~3v;t9hR@szpPBx&EPzLOn_{tX zF&02xn}HpE`J`^`P~&E;<5y1APl~6-TS+3B&-;243d&IZoRIzTld7^g)-j0Q?U()o zMfjCGZ#hKRPE{j7{&8r{^>vOL>;vuDwCzQWO|OG;X+V*PTDdRb%u%<(UKsKw!Y%C> z25MPxjx5ZyeNWF)m(J1mLNtWqV2DS9Yi|cc6;OkcjFXj`0PWS#D(Xa2gx4-h3=B>V5JBC z9DQ%^FHC#oAT0akcm)p`i--CS{2&-20qFWu3hVxjRy*1w7k~ogj@^0X{2L@@+A)R| zv@QH~>TyRHa6`&Kh0Aojr|j7jzaH=$2~Y>G1@OR!ftgBtQ~@v5B4NeSZCk$PAqj{7 zEza}HV0lc!yC*g5ZRDr6^RvkS9komF+K)t`)U-8I++pV zoFO|}6IvSm*k;MfF@yHW!i8$Boi$oHmM&+_KLE7gLTlWoyfWz><|%*@fsSO%Y8kFy*PHDbqRL%6WUdAY~+nF^uxYkG+xt} zb!SW3d`ub^1hsZhM@ZLzs-y9)s;Y`}R(6M_HU=WJwyIAO-CM|@(Q4-gBezb5_NHZ3 zjt`mdA-e;gR}bMJNmdt?+OSXZ6g49@REe-^su$t={A z(HRY=@xqHVnG755M@^4DS=)8mrY$6evO7%G8EtvZdGG;zFYVkH&PW`d(k)_GP`}d) z@G|ja(Ox%WvdL$#O%+P_UaJBV7MYqw&RN4sU+kWq0p>p$p~6mxtyPjnlP~4GeX;q; zjkR_Ksa}Gq^1mdQI!=AG&b(dXxP>U(oCIy|y70}#kq1ab_n}v@#kN{ckNwb#K>%yw zT%TlLhBd0SKw#&7-sRlEC%|hN?kv(Li{Fh8=7uzZGSMeYyk07Q- zaLx4OHU%x|(|echAIoz2SEEJ-Yg)5)Q%C0iH9m9hk0nG z#5xJbYx7VH*5*)f9#|l?IjKg8<3cFjC_~oxoiwhTczxeL@!9 zD=un4Jb<3e`4P>@Q@>0m$4s3-_=8xd)Gy}v3Lt-uYu|EsXceW$~fh%-IE@5#GRW6tGB7*a{OiZPFX_(5)Y-*Xqs zi^0Dzz&;yq)VD2z$F?>ix^}Y;04s53-+)f)o&!or@TLsX z72F#!I&lc^P^P`oq~D3)0KaYF%f^y2koNAjNLG7v;F|FDWAdPna&CGOXnm$1FegA}yU_gxK@6`&rP{le?j?ot8l z?5O>-AXb;|#z^Z%S?DiW7xHm`rU7Q8Ojst~WWWza^U2B6E+egb0k(4V;4l%OmxNp; zknW;;JygZ?x_U_gcLpBQ80eFFuP^%mnyXs!JtV`A!$4x(B_FO6#_CQG-I6Ns9fJ_> zW@Gj(Z_u#;DfTen+m*sO9*k~9MTgS=UD09nkcQP>gLl@|yns3+U7v;Fq!{)(k6%tt z2&-s-{h0j=(XDU{Qp}s}7CE=p!k2+ri2y#O3YZw#$Xexo)*TY+NUGs0%A?$9=K_}E zre#b&AdmVunLN2k;JW|`vK4)RhVC)O&Lk#F0|G*H`2t7<2=oBqyd<_!>l*#a0R7b$ zD^|IpXx}a-8()H46&5h);~;MC9zXv7zF5WS{wM+dd_Jei=pgla5z=V3I5_vaiWVf? zm&^-=b~EN;uZ8)(Zg7^WZ#A8lS{hIbc3lI+G(bS?1C0Mes8p!|gwpn?xMh79V1cL4 z%WviX{z$=2(b&rjF8xDY2NZ9G_GIDRe|R%w4||mnkU;8E3?K?t2f z^eTq7fIzt1wZhQcFQV^7`>uXj2L5$9U)_?&1vNSYpvo4*L_H)!~Rqx_iV+GQxXwGg66SF0=wUw;mjH@ zaJXO5F|%>&Z5*@Gu2Y6AX6yIt6QG!FSsi`kdOX`!ajq?80%OM~3CXrCIy5}h(M5MM z4>#~>5NebY=WZFt=rk;J7m`1m=&CYWh#1a_ntMAk)jqpkwe1;|*SC_PCQ4!s+C=V9 z9c7;{3Fe%rr&Y)N^%^<)9chVaqRCeV12M#~W*6_s{ z4D=rlx=m6`zUAMa6mG%gXFnit=kFI?rWogdo`?R^eORF3%zsXO10ygTe#KX=pWd!7 zJ|a6L3Cb@X?_@yPp*Lg3RQ~nB%b9m09f&hWq!>_j=(|~{992G1QQO_-=knFHwDfP} z9M*XB=#dHrgSq1T*@)r!;3R?UMBd5NUB!8M7eFf9Jf-A=WWtr=oE%+pN8?fR%AF}` zX>)y0;+vZu%gM>f1jjFYw3#WH0a&=t4V-+U4j)IbikDY$Vq;K~x{gkGL-i}g1tG#CP$Gfr(Gj_jOQ1@H=hyR^BO2#e1 z(ybk}wY&3di4nhk{kkEkMRjv|8z+ZR%?}L;37MV;UDy58%$)1haWOF(ZS7#*W8N)% zf4`z1sh`(&c8(UlN#qma<)z;mP||>PRTDfB=7%j<$D+tW4ZQaF@#8S4Ngo3q`}x2r zJMgFxFO@{;kI%o7GZB_nzF2+zXp$rOODM0Jp`k;R^C$tgkr^eb%`H;y-gXMPoYQc%ox^6m@j@4I@p6gKFe!xuQn!# z25&!GB>);<=`y6~I45F~mXQvn0yAkemeM$Iq@XD>GSb{J6q|IdI%?A_Wh`=V(9+z| zxXt`812>I_jjV0C>`U;UhEPY<*f?&Yv!i2V`2CQ@R111=r`4DdfHCMlP5EhdgmVws zXntV80!d(zPLLgcxohPJ+eJe=`XVbesS29d4?xH?h)Wm-H)(IRVC-SDpy*GVwPRy< z9s9U)D34S^g>+^yG(-grqR%?Y^HWN$Rf`PT`H*EL{ z0CSqy`@dTLJ#e8SR0lUuVU$tW%a<>wSAZ~*WCF3prSA9ykf1kZ3WmXZp%{Wur8h8$tR#v^!zq%t{s{FN?t`IgySoUwAMm#yB zDtcu-733=%37^l5wE^wS_H27IJs2K1b6e2KQtja)cMz?|8un6j#)X_(vZ4;J!pMe! zW_c-ut}zyKXk^rqsZ!VvjRYZG`K7CVw<&K$Pi?fP++C3jV>AE*6}`>P&4mrk^ck!n zAMlJZYQ51>QTJ0X;H8bVI2#kw($p{M>njnhg>J8>0*v+%z?w_pD%^02b7rtqKGo=? zMyP+BhuM%y#E-eqqE1hb2nt%8YA9k_-4tTmnymsQq`lBM&2`^NpJ2kn-%^5%DMIyj zcHHd^RKS^sLF!lrmB$kYPUSH;8lQI9?);eyCoZ3V;8<2d@uLUmLX{V`Wzw(0GNweZ zn<6Qbtq7;qFjIXAg_dLEAye%{{bTr}QzmWv)n?&Aylg3+F3^$vWi|K{c{ctuui z>nR|keuXoyM0C)bYmCCh1xqu&iZGntICp_%qo6wqn3s*fT+VoWv#G9*Uq?qr`@#i5 zgnfY9D)?t*DN`}8_cXVwcZK^*Gz)NXY3E0Awv{3d zKJRyikGS`ml;qN%Ww!NTf2yP(FME+N09`PLQ{CdrG&ME90{8zZ+l%Gtc)S)gj{BK0 zqSA50JQ%#i-01xtQ$z8#s41PC3Fw9tLyz~0xTWF(^sUB0pSujE%N5;ciU}4TE!ePreZZx`Z>(8w%pFa+$T|(GBHF;y{50`dw%iXlHnH(>1)Z%4c#V#{ zT?fU+>P+L$9Dw5p{*3mv#cHkZ8t}!ML~cs95=__PAQ!bB$y(RGalye@{c&t8Vkk|Y z`_*~F*}2iuBTB4q1GT`bZuNviQt<*5x-}m+J+Y9T{BSQNb?W0#3Z>;PDZ16IVGz?*LIGD#I6Tt*YOM&I>JuQt1%PRvN2t+ zC^v`p;pL8NB)bgJTB25AMuI#XJ8ndd`q%`HBwKwMiv9Tlz-zR_a^el^j!_RQ>4bg? z768wuD6jj9+_)@hbarmVHBmY%uX+*6L|N0LxVULu%fRJ_VcONY<$ZxeMq1@A@|Q*Q zE)@9^u#L1mluypzq%qpXA!n3FQf6nS-DSZcV%q%HPzGOp?z?igZ=UMNX`!xBWLXkG zsFK%|pew`SK7pT;(^^(c#E&|-xOIG!4SqLu?x%^Y6P*c&z%{iv7ujc+F!&%APX43P9Yd~RcHqGh~NBI0a zHco%Fizq(1w7<5^zIvW_CR8xSI*>*mZ_RIvY!{g34+TYb+`%8V7>K>-vK*rw;#I9|TPrFk6n2 z#@h3B5OpvnCgyyo&u7MOKD_3kV|8eWNiC7co8;b`gTHp|8YI;`sk8fFSQNfq26R0O zU4MPBT(Cd`E|>BWmT34^8uEA-u3bya&dxqYERvIxGYaGCvx?*9=2i*iZ;*DVrf!c` z+vPhC$p->60)cZ^3kVBTj51I}A;Obh?mHi+SiEhWA~6<(>)H}?bI$_^#s+`((o$Cu zefvMqsH1GFv9+{(K&4V$RaUQF-3zth{c7!vjRFY?36=eWgM&}m`{4Y2@sijPdhcEt zxSz}?N|#&JZk+Iv4jz!#ETAcUE|ZB!732yr|@|sL!?^@N3FP4-f15_Vt;~%*|x2XJrkXPYYhrQL%%M9sSOTtA(PlQ92Rd)FQgW#0Z(NLxy6t+Itys4a&~OiqKcY$;lY z3TYCp7?Q(~!w{;aZDUJC3?hdqnw(|Kq*fcnAg98ZLYZ+ar>Pk;^SdA0yT5t5-fOS- z-}k!S{_48wnVIMLexL7s-=ELt{@g)Jca;z|I1z5OatYcsg;N z5>FWRMH1|@t5EU`DBZ@m?eGM0u@-tP?=O~>wbW6n>d{q{F9AKu{%V6>lD?u$qs&q& z^3fYS4#zV35~HWy?Qius44s{MC;EdV!iAnARDx1okD@678{DvlOMQQ$2{ z3PXt2Keqo)3VKv4?E}S5YS~ZUtMQ==>IRc( z%N-uR0@_KdHxr2-|G(XkzZF74|8*?m@)amU>Z}JbbZh+9Qje$0hu(y|Qx5z3MN>hw zb7m8muo*O(@Xfj3R0jN{83$a{Qvn}$#*RCk@L zTcopf>s25i4$q{35hCZwG9Cdaq7Q5Wfj~apTtIgUK@&}@zS+#pGQ(ihphx){m&;v+ zlMzL5lqzNn7okfGpaj;yf2E#iyDy&RIt?)OGV))5bVX+@!eB6ypU?LOOg7Y$P~F%}zBD|Ex=B@^h3YC`{>l5R zjLAOOQ+V0>k0=7+E{9fh0=AXCv-78>zt;p=;3J;*Wo(U6`>Qq+`4o@hlxZF>NN;XS zOMAPgelVd0*be%>{rjKb;gpLPn;02=k8Hb!hCQ0^My zCLaU`2iF51assNBOj<%^Q4U8-ON)s!Bk@;@UlGLPjG`kC?59rcIjngU~02pQz zBL)J4DFEHeZ91J57GPuHg2SPgF%s--6VJ5Rg$8VtgJur@K#8|Vz56M64}Hi-dHjH1 zeGI&=Yo_%N;KHXj<1U&Q7`)rJ6*}sRc2hz7uxkW##1wwn5QQ8MSwG7z&|G3!d{TEgByI|8J)0`v$9NhnXUn%}Kc|M9z(3 zFz0Zx%`icViFN6nkti9c!e%!>ad)*~-NQg!fmD5(1%xvpi+xSqc0`UC$%}p_FBv;J z(s*8qN>uW6&}wRGn*227<%Q>$YIke$PS(&?RO67Iw@Ou6(mi!BG{7-nwXhe7ZW|%y)MVBhWsvbyxORanN8FG z5rVb}N!Mc;wyAdWW@|JsTVv<=D;Ih_4%fib^D(l3s`am!!Svkhk!s|Foq&-KRcPB; zsmjionCS|I1TgGF|9Maws$YptM}~1pATh7O+ie2F*&0%kKThkShfV!Sd0t;1k1@ps z7;pH!Gorao>*t#cfhg+haiXhV{;gY&!i*k<*_!va3+sfMvs%6u_(Y@)(B9Q`D`Zo4 z*7f12b0cdLWZw6(@T=C?1tk3oQ9Jb+QKQ^Q8bJAzNT176f!`xK6+sPpAxxf&%qWFx zh6CO_mNA5k5A$0lng`fSjJdLcUwn8UUm&m%nw-AO9@!##P&+u~9+@EQ26@*!foNF87jel$7Xs`}wUQRhC0?3M}^_kVvZ9WtbFqkh;;3?5-^b zCZVS&&s_{PG&5q0w$k|ByLWT5vmXz?QPkrQo?`vFHzB$d$c|l2m!kp`G!p{vu)?8y zS7+C*#X>-->cRha5HQb;SF>r7D|7ZN0$iKgxa?JcB)yA;O!xxOb~Lg>bt!5I4_pNH z#lA2+=JJETD~UI~)MhlllAH|y+3LGTvplq|AcO9vkpvY-V4Rt`b;BuCG>bU~*iM{N z;*T?VYc0f3*CEYksVOP($?A(yMYfzLEcv};X6}CcjHTgR<(NP7XToP@W;%@>3!%)QR)Q_bE0{9Ee7ZWop=n8=+Wx+)r)MjW_fy40U2N;?uI}#d`KJh54z^4{l7{u~i)Rd#SQaxA+}R;b zK_Ad4>U}uRd2iPK1*bi|{;2Qvzk}265JS>l-V4+2L;JUdAXwb`Ul2|u>iV;1d%eBA z12UFT5%7Hw)bLR^OBhWc;`sqzas9ZA5c;$m0LVt*us}*so?wi_In6u|1k65cG2gI9 zzY=8uS@3H1%drXNV!LT7h4SfV zOS2w++Jx?=VBY+9t&KpbnT?ikSx*=)*jhfh~l8_oJLC((X zOe#e%9x*lrt@@Rsh6`HY`gtm?2$f!ZF+tiVoS11}`6#4NxnSTLrWNOZ@&+HE#)F>({%AS}%=OD~I z2Z;3qm=YRp*t>dURJOtT-@oDw1smT(%*>u}$M1OWbm)-H4?mpm*bN6aULtl(eXyi3 z6E>Qu=r^xRmzCJ_FwhqgOy;>AN&B);p(#rj6*$fJz*^gsjGi~-09FS?TznLng|J3J zNu%ieK`sS=lVdUo_MyNUeI}s-!Iy0FPl4zv(fm({v6m85}w~Td+4snMuTv=7M#B)FV2@32SCISwIIocs0f@|8VrsHTZDuty7Cv%{m#N$ z%lZ5cujWj%#CF~*#R1DXko6D3L0`rg*(ECkQpt$-)+}tYjvvsXDzUo(zS4+k0hg+O zL2$ZZiz}%7Yr&wVD(-#=mjVKX7^38smbMLVZ&{1J)&Q>kT!6bzE%%Ln{_GhtjNzc* zPyqyMN+_5QB57rZsO^gjt&j^*2pky@IOQrOsL)oI`BP?Z+|#M@^10H{%@)I>!OpvjcYqS7mNDkZ6yhOcIafUp2jQM4X+3ud*n z9H`mA<5Wf%#BdX%4)hevhb=bU&CV_n%F^61S9nKiRPtEB&hG5V?Z%f7t650Q2jb4& z$w@umE;FS2D-hd58b{{xK~1rTP`_Am=K_|xGTyNT5QeLm-`LWJz7B4hpj^DO@lf0Y zSq`AYMiwvUPQ_Mu=NA<HqFD>uW}b{TADKSQ!xZZz*t##_hntDu_Q*=x@C=i&JfW2f=8m7&shh8~ZqsPScY~fDsnWew<7hn%FN_X=%P%@Q)B(V1e3rCAk`e$0 zdXoYri>lTuFE9T=mpo?5Prbw$k%T14s%4$Zt0J~_@yUtURLjj zvuAPU&BA?W9R*V^`I=QsZMi?%g@_2HR1=IchcESG4GgZoU~4`_&rbBzLcYv_mzI`B z)?}rryCoT9J`c8JH!k}T2q?ei!nXYG5j{P<@4B%(BcWki&sY1Zuswit1wB%26Fv~E zn$9xYzI~$?Gd*TPKN)Pr}!-fi8aw>f1?_ zl&2SeErmLe=O&qenXB$ysJ;?(44M;8CT4crO5!SMivK4$J}CS{8Tz#~xX6zRVrMV) zllL>z)04qiaHoX&=aay0@JJu60BDU1=tin$X zH7AOXy1I^>i?A9iDnXwj$yMejVV*$ar6crkC&*oFYR-i$$Ny0g^_vR%c!cI^nO)yb zUvrz+HIPHU5yO0~sD>-*qHmAcpzcsArdIBp5zIgQ{WAKu!a1n`C$afg7Fg6P3%tU8 z;BqQ&{rD~FAtTBFm`JFSw5tWB@J1j(UkH_cn_tMHHY+@j1<;VY__jjuA{1ExS9}YJ z=C>EiP`#e;ZRX|>MHXz4W}$IL1@l^jfV=RW-~VpmA6H>c=VCqCYyELKXDRrz=LcKM Jd%KRs{vULHU1qfX%knFa$1`)?^_=g#-}}Aacg|gHX+B-3zeIly4h|t>BmG$% z96UlC9Nhf;ykN@?aj7Z}PE%_y0~;?lKW7S+%pr%L#{49QQ+1_zddcDS<#0HXhlh$2 zh2%mfxp}I%lfA$u@P9X&6UCWAc4F>>Q^nzxRW+5>)Mu;V7RN{@C``ptp~c z|L1hzc^PI;qI#q4=tx~+M?o9H%OAF`7ugRysGh5r8x=H+8u;kxMW-zxPoq(3bg;#p z<_?b61MonFo8)kKRmKn4PNGs=kU>QyJ0qt7qs)r}x=t6}>_%~NLawCe>q_w=TX~Qi zk(==ah6=XPY2NNm3;;nc>r8X^!d$_S@dLJdf`cgTE_yUCFPa-T7>qoC42)+37b8P6 zXKwN!eQiBPgMPaUzjowwGR=+bMfV4t3C{>{jBy!s*x&%9F~0kLH9{O}X|7oN(_rpK zLe1W#{mjTc|A=XTeNfB#_T3|3-N^v)%ximo?O(DJ7)H*{C5}?olGTpQG7aHpPh+(yUVdC zgr5eUnS@v@JdX#B;_d~!Xh-LSJ*KPAh$&ejM}M{dcE5qNJUAy&RUJ9=kC-s)RoI*# z-)VdiRnac^{t&^QA3qK3&uoYvX*$O4z*WLQ`R!xSy%~e-dwa5sMf7oez9KN))9W7s z0|;dKMa-kUOE3tGHX&+(np!Bdeh-1saSMk?o57& z@}i%oK5R%2P93!s^pffj)u69p^3VU{l7E&H;yZ$J`|bZ%I2&ri7yxH_Z?ZedflB@d zX6=JfSiburCtN%XgJ?PK;8kwe=U5*^;Gz*hWcb|{H)d!9svn1zOTN4eSTG9 zflrFT2s(M`KWO-MXdvLxafg8hKw)|p$AgZ#H(&#El>fB0_I+&lHZNof!`7($`BjJg zCM$$0PX}N?1}Va)x|J*bd1OGz5e6CLa2ixZ7k8rgfR8T7M=DUf(X9Z5F#mvCF`K}d z;8q7ZJOSL&`Dmm;G1mE2T}KpBcKSO;!ew>`qTc^GL0uh&>nQid5mEnu9rmbUU>Sir z$`T+&?p8SmhMyh!v{Ora(J3T%BrEvcW~S4;fC|jWLTVws(k;zSL{*aZW@nA4p-;m$ zI~=1u)9kF`DYLCG1`Z_tPNF}?pgUSWD{_Gx8=8MmQAKjqdW;RWNWMS?r`5jUXOa-i z8)N~)-+^(M5u(m+grM90NRojyY{qG*bc&pD8mLV{WBC)2bH`vEr-4(^LahW|^_PR^ zZp;d(u?oaUPz@dq4`>j`tD${~G4x+Z(V|%}n4NV5){P{~elAXX9B zFhkH<2moWT3ZgVLgzj|_s9}D~Mf?O-{WUNGiej*RO@PuE?8M)XA$XX=M9qukl6?X| zdQ@#jkl<^MpP8-iIuKzaBx6Pb4WYM)=Y^?yM8@vI{IRL}9?=!Po&H7068vQ+`{Fyx zzM#Sl^Mf)pX!(7@3|Xa8X4hdH7pS*l)XgD8!r96CR)k!nzlHC!W#0?ge#$ z827@|q=D+h(&E2B6#((jV*G$Uf_&k>SIxx&{cMl@)FiSE@YHuVIc?u98y>*)0mmx@%wDFeR%Zl;5e z1zAbv_piYy;&BlU1HJJtWNV>q{rAFC^^SpJd*TTW;or|I+p7m5uY{$d@RGmm(3zy- zk5~Gkz=z(xIxK7bekP@z^nee&w-OHtGmwz+o5Pz*1qJOtpy81`J(yMQ&J;hSc)43d zJRB53VHc^g2F7f>S5NX59E$tjZ1f+O@R&XL7pQBU!eVs@)DTO4KYVrOMl6^7W%%kw zT@4m+W6X_CCOO&tW64;IZvV`_=#s?}VD=6xK(oP_o@L43Q&iFD8WWiQLYUNN6;)JE zMjZ#9fOa3IM`2M{hq$Mcpx?c5&p$=AeV}&rft|`kB!F2rSWOiN1Ukm|Kj=Kv)V_Do zKH)x4fezz7D4urUn;lZY&1}T~4?zABorTpL;4~@>;%K1aVF$$NQKjVv)J%*3fI5RN z1ppY%cZ}qJt)%aJRXbe}W9Fb^PThj>+F9_wpI5`9ELKc zEk@GpLhNJ_48H29$XQqmeY${0Y(5c>1_-|c9=oXoN3CN%5s%m=qHy&k%z@30p&$EmX^C`IE~EYs}SmjAu7 zC@bK7t!zZnMo5`sJFI?dSp_r!^)rn12cQ+@^jI zcR0d-zjBKsbj)?(;i5S3lE2J7nPlfrC!Sc`7z(C8mw1Br%37(~`TUxw+x)rn_uj-7 zEKI?|ID-dZ{U-}$>N;#~FN(%O2Llqm0UfLm#K?8S{5M+Vh0T8>XY2wzut))&)PD{s zaGmWE)*rxO`v;H$Iz1GeaGJl1F~ZUJXD1$aYB3xU1-}2xNM=o9Fh|I*jbzp_*~NJK z?~N@umY^4g36DQGwmMauwC7mhC4boqcVqq(j}9oTz4b)}pbI4Wh;tQ$q)MWau|oWD1_@fSN6IPPHY6E&dP14rT?pl+}(x$BGe z2?xWPV)(rb=d1AXZ%XIa*(gkUj{VE7asWr@Jf*XJws!X!wz{TjpPbSO*CWG3;YjET z2o*Y|(;57**!tg8gVa$YSBDZHM!tiwK31pg(OI1Y^qza34?{`zyBV=xoli$Vb;`cX zhf#(D!KyDfMA+;Y*Z`nGa`OP{7-P|pzR!-;VL=9Vr1!Rr41qNx(*eGnSdri_!frU( zww-*UQ5?2MQT*sV*p1e>c0ICKMDpI9WbPbvLeGfpgkCqS{wbR18wGx5Id*pBymQb= zXs@`1?yehxPS%U|2_K89niwSLi0vqJVOZW@jO~z-U}2@#VLKZYkp<0vJmtx%Wx?8A z5Q~EK=CLzz33wvB- z->cVlVT1oYgX=tXl8ADmKWA<%6XrT!vQL;Bt1=7xB{KO1yw|ETw*G>9{7YuObXr_W z9nQVNf^u)0I|;o6h99x?_)pAx`CE}j1J*KkAJVW~vM(TwIx3}L%#AU}J{|{=gc{%WzUG(3H)M!Ar(v48l`I3DCYFJfW0lst?<2-s@HWn^_gz3`% z$9X`F2c}kSk%@;utoY_SYix_-(WAam9^VBgW@0Ea#Ruz0|AP_vx94XQJC!m1ejOX% zsd5reY!{^(&8=^KQ_F*MxnK9qFhXgdOSXohU)Kj&u!lQXD2Q=*DF*evXZHe)&zu zg)rKSp^+7Z!76^(so*MVASs4!_?pRNP*|k17^`t0-+p0LVGXoLJFr`{M}G&Lb<=bk zSQ6H5yQuf=OP~R}0uwU*6F@rYZP>5u38R`OnzCs(1r#DMFxKk?5DnIGclqUs!s4Odj=^Ofe5InWKx3fz4Vw(@nw4uqz2x$r3Jx)c{+8l zbW#tY2O3QL1?a&>wCr3@hP-o_;z**-AUTk!mNZWa`hHvonwJ;N4RjF@Hk@d_Xk6+< z@^nRBy1@ui;oGX(-)@On*a)6hmr8MQ2m5=`kZDy=Bysa|p_4pZRmfEEDi1nxc@-+n zh2m)ELh>SeVm^aoXdYxbd}%M^MP^JI`DfpijE4*FL%d+~igYMebWl$^1*+Yvp8Q^& zvVp&;T>=>T$=zCb5nS71N`eEe55+LhkXh1k(4XF|lG9tHzry)I`0 z@3{|93M4Orye#&o=>wab?r%^-VRd+q%6@-O{ z-j*}bL<=zc5Ipp*oC#cd{VzZXR@L+0tK`6t@A|h3oamG@Awn08!HHg&GlAiBCk{z= zX9++5sZw2d2%HugQ+~rkz(p6aad6LSQm7Q!2RuaY(xiwe9l&}9B8s8i8vp)r{s^BG z0}U*o(EBtgRGvV`q87X9V!f@77tZPE17ne8siKZGYdxe%!AZv*#w0pUyLC+dpPE!h zKcc1DDLu-3yxyJSf?a_Djelz<{0f^yBe-@PL(Lh>CQVbY%7(s9+T(8J+)6mSQJMvKJ(AT4&EGCkWDaGd z+8LY-d_gG*w#XM|&#+NVkHFR+*FNBrVqoB3`9E7`!=Bav8UrI0#BPB(;o+1&3<~tR z@_#KfCDj{H0500{JruxV!1oso{0(J!aDq<<6hIrUTgl6xM*&s^)RDTxj>3dWjFJ3W z!G$X02lOADZ%8FOdx5W=rx?0XoSditmGyjGDPCkN50WDS(Y|1!A=pNzdAmC?W-cNI z<4kk+nnt4{^A5nE!y7Vwz;;h?5XId^51sp{kF49ne2PCi-4ML&vl9;B)Yah@3=+Wk z2)#zu(CT{%XgfJza0nIVwC4YV5d83RavdlF8qRhA2Q*pw9|H$g;ggvjaUyw<07j_m zsR4ebt173CxWA68DgMKYE(){=gpML84tit^R;a0>_SAd8f~^M1((7NL8X2nWz#KEr zynE0YAq-g2nLuE>a3&hiVH+IQP{LU_-8vy$i&cAMX>Bn5p5*S*rQgIfHJ#=K=i!6! zzndH*k)`SJ?X$Bx7(4U9uuYHf9t2Q&rs@A_f0#JD^GadNj`?N~t)_~0AJ#=`p$O8g zF7p2>fl}9KpU{m)cm%|x`?&nq-Z_}S|6e+*%c3au!9M{yZD<&5S7TOhR|v4!)}xiy zYOn+q6WVp-^nWyZ2Vx(C!2?g!D^ z8Bh(r5U*z#0n7m~_y7pie~b|zyCJ$C^Qiw@2mGO!hK=mkBQZ@kAi;NazkiY+`+$Kx zE)X<2OHk}|kX~5%s0Jtf^agDB-Xf;#m-c{2@ioWD$QK}FjhRjOcFDjmWeC(%QSlBN zKB%do{FS7MZ>m12DZVhd_jjtU%LM!^4~chS=eITLwW5zP=CB;4F>ZyHf~6_#zhA@~Z7` zm&3F?WZBr*8bOO5OCYGhJHl9XH;QZ>DfM^V=C>VS`y}d4xpz&l-Wy87yL7E=*34q| z$~R+fNUA$HPv}v5t@C7YNSg3f5zuzk0c!k-+(DNvSF_WSm;~ewIu48fdvWAH${93q zXdu$wgy{GGj89ZU1p^F3{}W%aOt1Yj`^ZWY&LBfAM4ipFqFz^msRj#*d&WI<%)vls z@fF0`S7Jl3w?zUcrcT2Mq>#n1+g$^ug8SQE%7L0+x#h&x6-T2C-?g((e z68!d8{`_JQes|RmY7$Ui4?zXjzBB;F`jPfR#w^zQA#;G{*R6${YHF;dvdmljHCX9_ zD*fj`gb3#&!0yJkJ9OBOzaK`lV4*dlFhgzdzlTfEo3uj&iti92em^P^;oMw!Nm!x* zFWDzh389OKXU5nwmF$G9p2A|*zW_~uB55&xFwEw^H@Q#)22!Xcu$wGIV8?(^p%fZS z_cEaNiS=J;Yd5Ap7;4ndRTwiwFhtI>{aJO3hL|&w2XQi_P{Ak08U;U$piJms5_>gB zJ$?s*c2C@=1zvoJQ^RQjrA1AZfJf^aAZUT5Qi%BWf8yb?)X*ec>rvqA`|vmTsFB^3zAyk}u7SsQQIH8YhA}g@7w_a)-%C7=o@VMpBT?JiH_aKCZh*tg=%!hAFcLPji3em2^(a+t|rTM z`-bJQit;S}jCHB9F0;#j4-T@ifKF=GpRl`cqHiC?zTcZr_?s;d<|h9n@_o|+)m2$) zcl!hi!dVf&i4Fd6X!@VyhgzC=R)@?Sl09aWgwDgFZ8#Hf)iC6xj zxKoowd0)*cfAqjVM9rRb&} z>QPon`fnbX-|JDhs()|pQB=af0Bax3Z2d3|VMZ!wf)|gfp}&KhbekxJqA1k9;d{g( zCiD%D&niRzt3&~cEc;*f^DDFnC>}#gV6)hL@2fgM+C?B%~sIWPE5P&n@Y zgao7B$-+8cvu|JxtKf`a4UI+n`-PYSL@0m3g8E=VDl}COp+*zMZw$AAz7$JWVc|Wr z(Qb}FSoK~yndD^0SS$Ts_p|Xe>>Ujtx+rL)-LC;BmtndeEIz;}=IGG<=wUt7{ixX5 z2gog`;p)7oGixH^YenPN`93UJ*bej-zVM0((%wQn$PFw2@99eN zKqhS=Zz|~xOW|w6H}McLn1H++6=507w>N_gwqS#r3U~|c zHRBqSLFsE?x2;oZt)7C~)WlNbfvN35P;0789XH20^KNwNCg7 zLrk|b@4B10Ez2~5ju8lZm-{2D*RkQTp1}Qif#oh~zF^3r(|#G-FcW{7jlkkfJ2+10 z;eLnX>>iKEA{@cxHK6e^pYIpeTKWo!omTVKgv&X>VWHkh-2G(*0ABLXE?nCA_F;>C zUzfzXi(b5#H(Z;kn+H6~eSa#LWy5;E0)q;e&5MxcuR$(|ReoVzW7a^RBNTOk3>^e? zhzuRzqDRy_#0dYafDWJaTOI_iPd0<(K&D#KJSkojnmYhr2lN%+dO%@!V!$z?Q#g@4 zT^TP4N4~JEb%qJc;AwTK6c^-lUNjGI1XSzY{9M3W$6Zy(RIrR9o#IHMs!(Yz6h}K3 zk{8)ih2qY5n>gJLfZtE=ovNDQ8oZ!P!pBLu(S|G#t!U2U8NgDdj>qw zX*4i{(?CvDw?l>x3av<6n$eudU}+4@K8*i5QAnVv-Ozua2RKuZh3=4DD>vJo=HQU# zFxJAtYRt@FPOZ6`endTooTftCIDBkL|aa`@}%~87}hs7;@SVF9Hk>xdx z6TTA@zFRkOLj@^KA}&g8%Rq&*jkd%0$i&uaeo?;};F90?!T-(scXn4^FM3YOzC+vR zJ9XKow?)rBEW7z>lE7er7!EeSHvG%ir*U54Ve_w;;1QfrybHu-b+}l63wRVHJV=Sw%RHcRj_t|3C#4mg;zIj6!9&?qanxDVXK zaxcL=*9ND!Hf!X+=y9M;B^ZQ90R!2LEWA&$*C`x^wO>855Tg~_pL z)3@O154)U7W^+zU1@I94)vrs9XVct=<#nn{w3Y75vYsoN) zU)+VV<0Pmz3T;O&d#YCYR>7*dAwR=n`;^epE#t@KRn7;;ldNUnM5Lf`9h+`I!K^UX92+1RhGTug$iN3EIW z*%+B=Qb*L6)Xf;GJWqDvF`qg9W6ie6P9N!?K7LVQz1WfiAMc)xo0C`?#wXZ79?ja} zoT3UA(udMQu2(5aY_t=u)MazEEjo+!!=4}iuz&H~<8OmXl8^<#@8F zve51McL&RxZ+v$Bn67woY!8;w!2wS zo4L|>_wv@Y3CgjZMxTxvf4Ux_#L(ki%dN$p0>#|*`nMm3UT@{G5tL3$ntg0{nyc_d zu0_Xu_HCJYG`*~c6qCz>O!iQ*aw+WmG}PuvaC|Vuz&?t1 z-Z0gbTpxu-a~p7HA1M6@EYyE=j(sT?c?B?*tTPdp_b;3z*EDj)tLoT8r+C|eHBVQ1 zX*EYe%iQ6#T_O@9gOr4MCVD@Rd|~p-%Dd9JWCWr;j8iVTjM$?f=61$xu+R3*&O@X$y;TRnA*K^30BkB! zaKeSMn_jhMOVzC-eX;`kAGF|-Qq&2}2(De)Z@~n4iG(SlCI%KZN9Y;XR=mCbSkOTy zdr?uk`}L!9^f()8xVZFr^u7H)zkiSs^yM>`$m0_3LE}#@Um642_39Ymd{_JF;c2>W z>I9a}KrLaW$eAox`+V~puCm5wr=rE5x41;{R*xT}Jmg_RzELp#F#isUS>|P=70KuM zoNJ3Y_pkWSe29KZ%=*khO6}bn%bv&MFA%5sTqTQJbAP&@M00w4Y4@Jx4=!xIw{6+8 zrT3g_=iIB*N3JF#k`u*yf7S71^2lf9!nD?V8(k^FHTt;{r~^Br7;;jdn>yCprs#0} zZ8P;7+9Bd@Wxz}Uj z@^>C`XKv$#9D)aW3Z5G;t1nqoezN7*sPfW-1fz3L4~#~hPT2V*&AsQZZc?7_ zkZQSiuJZ1ztc?x%OUi2&&6Ehyd`=wUMcM!1d2w-5ssGsxae4uQEHy(pUsTmdKOB%d z8SUx2NjeT`oH=^LlE#J0*W+{*4mX7gDh$)-7(Qim%O<5~UKNTv&#W1aA59rLUCRCV zduPhkJhJTT1GA7PZP82F=xTrcRZ^NR7_AFN97%I+B5NdUM(sChy7bKI6u-kSH63gu zPKr!g5clD3oW*R{3v>3)HRyj}<_^PgcZaPXryrFVAIBkZSh{ICp`{s3T!NJt0{1sFIJG7{NP0;htqW6 zf^o(rg?yz~IM&JNOkQch&Cj)UqIbh1zfd1u>V02{$;v{wBjf8SXh%n`1vIMO@<3_| zkIJ#9IIY`}W4I=1do>QyxAOb)>Fu0h)0w>*6?{2@^P~8~*(w2C$zSvqD98oQA{Z@p zzN&&YrBfI<5w+6l*0Lk?dnT{%kGe4a&J5M&mHE1#+FswG&dtv!o#53qsz?h^D<8}E z@yVs#gC{N1Fyf+&jtS4Vn)!SZWsC0{_{24OfWpjk4*jHHY+se4TXTHHGOZ_B2OQ-3 zS=kZCz0)C} zaS_&8VvZ2dnNFc4`;R>vXSd>Y{L{R1D!FfbKfGz4b->x|{fl!G9Npfomft4)ZjQa^ z?nOmMlYMXa>+5o@loOs!XyIO$7BXt__-W`^eJ`t1ExrBamc2905^)A2{qKtSzq#r% zc(}NpYT5R(M?1HVod02v_N$o1OP&|KoxASBqJT#k_3NDVFW;Bjyx=x*-K;AmoL3T! zQg-nGOP4?3a&ev8J7WK#F0+&Cc~7ru$JXV5S~Uqu3Z61<+OlMS?5HpA%4>E^Ur$Wh zw|L3NcddDTtqw<;3mP4k*hTZ^s=E^OtEB}VQ{#A&1;*Rt=rmQS4!p$?ig1K`4|lA; zzws;m4hC#CSWxCQPs=8^Gcht1A`YUD;;k3O&Zia4SrqvGUi}u|Jc4U&=Gvx@x#pe^ zF3d@-Gs##~6dL@2 zs=^VId^Un_*wgwF9_zPPnojdmY_>K&q>-lX@<7CI}W39#?u^LorEM1yI&oFPz*&goDSFy?%1VbghK?=v_t2%&^H2~np>WE&8=)z5kP$tg%alx)zZ>B3EmGizbw+{-l%9Dan)K` z)wT7+vn@k~vK-T0n|ulq*YkWf4Nl{<=0;l}MrRl>&2ZgVZ+#PKs?e1~ zc}*7Xa238{;yB5FEU?du2hQc9d`V|HxW>uyEz0j@s}54E{GT0pz*9ctTIS@D7Jw05 zBfZP?*3ml0UAQ~KBCP05gQ=~QYpX8p!Y3Pv!@I*a@w!`&e;KRu4!O^Z8`aThMMnf_ zx!YMT)Jm`LciUs4a}K-SDD;od2)^-f^Gizbqh~c4(e&}VR`h=;RIIW$ds!|)VNS#w zN)A8*xmoq^2|34B9WT=h*~B~igFM;S${1L}xScDvqLeeF5*UBX5k;j%5!pp==4ste zaJ&n=kC{`8>I1txC*#pF;`_=6H=Pll$?sJ&T1QK@O(9fK)israytI-tlqYR`mhFMl z`D65VPV~~}YmVlq7LE~~Xg+^NJ!m6K_p$z4S)(r^2**k;#|Cg&?KBrlZY>vCDO*F? zxpnNP4{tY{Z=HCmu2AwxNd3gP&{Yrl)%wMis5q?ys8?w=d=m8GyAfQ7uPrmT6?j_E z=>Fo~X1fXiI1)-y2L*Np+AVK2$Z8G{5jvk&i}#I&2`Um?PO!-fuL^IB=x7mAWkE3^9(cVrIU^Yyk4wzD}1oi$fjZ!3I%KWWy?nIcP$wJ3Ash8m}PKdGy@$pw^vU`=qE!d3@&)NM#}A`$Ol9Bx`u zl+u3TaJ#~fFU~nWdWl3M_bTG)R~Gu7s@aw=qAzX(nD_Fx*L_zX-0robz6G#(yT^6= zlDw?zrwBN0K<>p{a*`Q}s=LR4*7LS18>l5xa{v9|JL}@C?N-crQ}4LmNY@SUs)j?f z2`?X96rb#eN9!s@q+>#}Td%id70k~c5BlsWw7`R#FS zjnhZ1kZqv_#{>e_WapGTaB_=|*s7Lb?tzh6LUS2CI8!vmRakCe9lW7aG9c(DdNxzi zHLrWc4H%9)X_ZLCA9AhMdoK|=TIS^Ks?=%scI{Xfl@?IP5DjvL!3hMNH6Xh`0^(-r zw^mPS!Se{jhbdv{q!X(?k6WEHr0~&~H;0Pj58Vu$-?VV*n(#3K5hHvOW^A1pAF4Y{ z$CeK~T^i_q*{JPnp*grCPkVm$s!77i5(7p~wrTN{up*N)UOlXy7V!3&vh^Z=a*9gX zqg(G<@AQ)y>MtuJY%+8#rzn4H@K%fX>pqQU$3M3{shYQY=8jd%fwP))*?P8oR%!^@ z0Jh#>(0X)SENRdf!8Fd(Ya$vrxp~hZ1ncdMW!cF~PY(y&OWm4y{NoGLL=CqsQKvmF zXgxR+DKh5at12-qXE1Ifql4q}b!_JH%)By6T)qH$OIcw=8LG}b?{(f*M2O%NK33mV zpPz5UL@&!aKK{!QF)Lm_zHD{1Zr}W!dc*eH6)*bS5;65mWg@;GN$QqOZd%&t+Lxy; zfOfbWr=z%3dY6YV`TF~0p>v95_W! za!2y-n}28%5(a?KWcDU*JmLv;Ht2=Y9BlIhAPGtq{3dU_Y&~J-wBvO>m zrRh3LAJ~Dkk>#r3r1Qs;COhNZUmQDo;_Mh08!PaF$2*naa&ZTM_~F9^V|223G-x-* zTTFSMz8tXB+=6qbea3P;*nRz|cN}o|5$npE?`!W~KCI1Yl1wt89%(qZB04J!aL*iM|WsO44xKuw(s{c_3Og7^^4)7!tSt zlYNJrwy$_2kU!o16bE6R3hl@f=kn|KS6T+2!Bd6|c;zQ8_3G)>xH8>cN^$W_r!ZtS z7=EMA7ewUFBD8Qs+Zszda}Ao@61)I#6}3YZ_bWu+eR>`=0q{4a&F5>q4<-37q}Wso zUn@A~T0J^(lJEYVYI3nhfNQ1Sjh#NWn$U=fLm`y_$YS0ru5so7vGJ{ndB)NMxZ1wd zS}Z)jMSU>!)lr}3Jy8~02#T*Pckr)_YLmCv_O$+#%EF^O?u}BWCsql~;SnQFJ++i` ztMG=`kN0NZctkt1WGr<2t*-C;R2tyO+&y z*L+@=Yd_Gd|Bit>)rtU`g=QDMy)pl&?{k$2MXxr@o;x={&*QAz=6B<)_lPVBnpZ$o zeCN=vaI}~Qr-k@BuT;>jP7$uH)OFsdBV1h~MIR>VJ1KnWYF_6?VZIC6Zx@J-Fp&Rv z-rOibl@wYLYUaM%>HakBGui!C1BF9zyC`Ldkm^|FA@4*6RKB?P*+4;J_k+l9)x3E~~O47sBX%Txg+W^RIMzoMa_Zn(Af~Mnc zUPz+t@?Lmv;=V@1#IgJKWsaAIb_a9)gX&wuEDJbX*U;vINR2}jhcN-OwwdEZ;o`80M~%!OQk7F z93KlEPW7i;JG|7^Gz@UPvt=sT;C?y;WB?-6yTvo9tS((_$0_=yL?Dt*Du*{|AMI*hMnwQ;v#TI)6z|e~q9}w~4gq!(g{vJ|Zd_e5M%7t9 zWSBt06rr5dldB%D6klX{J7lQftLJs+Xr&-pcdj zUGn&Gg>8i349+xSc;c!7!#5;kgd{C(I&27F!xwPv&#zA3$SOa`TQz0iH5-YPfp^1N za;_{|rWnngv&tZ>U|tH?eYoRDl%lYX=3qq$*N6z>6QO91=6iOV z()`lBs^f3=1y8A8=@p)mx>apU`QWU&{?5m9l!YqCjCgpgz$547_29s)MMd-0DlMrV z9IE2h;&De`WG?UX;xC`g*YTN^uMF_a{v_Dcuu4es(rLR7G71lBIqsBRyS&?KX9Xo~ zzxAlFsw)(Vu-6;gZK&Jw0c0^)scaJx-475on!ssA$k|qX$i2jLH7{{WirLNck(>c{ z8~oEGMURfur|9Ti;=PpJkK?1z>xC;`U!HS;=X`L(59TRj^baY8zK0!~2<~tH!1=%e533qU7xB!fmM$t2!uQ0yaMT)b&}IU0+XKW??}EG zB0Z$&;JzKw4-Y-pZBVuzO3M}5U%hy>nqP~3>9z1glcLr`2MDVBWWA-@ zY~s}|&-x@nyzFQ=zomAl0r%8PHmlI4{5%Z_sX~&~ff2IIfEYN=~e)2|vNLN@wf2a>3%gLh`xC7_N!AvwrH0xt7DU0}e(xlQv(QsHbns&kF)& zvkVW8)D#d*4;i3mmzWj9Xr&Y*Kq!Y$3bfb6r-ks@RGJ{qC?e7_T4KTS)>D)86{;52 zd>$}k6d%b}LZ3jL+n!mA84db=7GXH$aesp_!g<~gfZbZo=5jaSwi!@D<6Jk!>q(uN zaGPkk*uk4z3!YS^hDl#bf8#5>$|5Z7f?zo$(*{Te&FDZgDQk$(=7_28&m7 zEL7bgFMXSXhX+_E-~qd)f?S4os+2{f>%*+}w3)OVkEocC$Jn>IsHi9Z`B|9tkrOX@p)0LRHJG@Jh<9IyB)cb8&x8mC^M z_NQ01I7*GI?)VoMr+ml)O2g#EoT&k?ALlK-V_16R21u3|#f>$Kns{DH6Y$y!0oih4w}Ryvlqt>MkIZ8JuKPpU}PK>n0Gqz17z*v~Dh^`IQ)PT9OF8KWWztT20-f zl#J4K`lr{8P!eC{cWYo!_hCa5`Xe8}-6>fD6l z$=;4m5df7wo7w}_&gAKdw+GV~U*4lwDthyTxv|@gxW$^(z^wHsBp68(LuNtO3?5X?A@G^^U~urw z{F0pI@9E`B&hVLXA4ncNM82AXBwkD1@SMEi`u&AD_92r$JU!rk;AU(7PFM>dzvX$> zfeW+d%0Jj16u9eC@a57c*~Kb=zw7LJoreQG(Zti@uj>2kT9cT;>}eomxb(n0L9z1u zB!e(jmsN07=q%-zRgvJxUzpA{*>42>jLhPfw2>2ny+br)Cip5xx>f;IAyIpo&(gV} z(Xo2^#$hopK$_t!2zwE_pc2rmEjV7N$#QAit4B8k4}huw9VecqXdb&owO}{p>&JXI zZ(bB&Y(GB>aXLX;(2N+H=c zkAp7r`T@QE$SLa!H5@O)-<#kTdS9M14p)|<8#cO`ce(8HkFDkO@)5rJ4Fi?rK~~2i zIIVPDmIu$ETaK*@BUD%M4@%V?rCY_t#p5eG(!!(o#@Bp#(^Q^WV1)4W@e@2U~0f zG1HvOArmDv-Lv-3UvGNSjl!X8e<4|`V%{LfQ2@+E`$=a#PTwb}Akk7B4b8b^LdZ!2 zZm(-z72${EfG(0hVAPbtiq$fe%CW*ioC2AT_%}y5`3eQ?bZw#;*Be*_+jB&02;4FF z-IKEyGPV#XqiZwG;-(GKCS;8>t$TT4((^M{UA8OJia+^`Z?=`ZnnMa}3bD&>)t?yK zYA9pqFnA82ziZZqU*`jlhRUmZK1w#Z$VPJ4KYcQWf1XZB=BTsHtvvjq!n~G;_H&1Z z4;^_>n6#+&z~Do!!5^l9<2NQmJr`DsksfWcFBd#a5R4d%z{7yC88~Sa`N-&b60X~F zh&uYAJGTXx6j37VW71c0wyo536<#Y`Dsv&cC9Z`ga>zdP&@SQHgff|`quxs>KxZVz z=WaU5?^?|v`G!fKla?2{-OM9L=kZq=(_R2afB2&J)B2gV$`8}`N#s0Q)ncDNxvF?f z(6uzqhHzC4hm-ua`HSO41wFQ(44587TB>6jc5;)FBt)`n!e@$HQ&z|l&nLtkI5|3+ z*WMe=o^Om({+R4lHm${Ry>_NUYI)+O@wRC<7CBgnx&+)_E$;-fGD|fQpA_t|&Q^J_ z)pybUa+~l{N;OAl2}lg=PmaI+;qcNsms*u9_WyPwjf(KWd4UXvz=EC)aQD)e38VRFTLY> zZ1%EyZ4TS4*6+xsj#THxR?X$|*~Ia1btm~*XO&0E5Gi(}o} z7Hwq#Jop*SqIWHbdMw&eet#;RDBW5EXiESwT9=5WQ!x`7wLvkAxGw(`&9h!Nn6nP zeVce^o|iBP+jS;y5gMtS85yE)5cc%eIP>+jvJxdnhDk{m0EH!1;9cX*&tn08?k;RS zEt#87lb3j?xwUSIdzL8RmWyt_ZnTo0(BgCBPQF0Fb@d_<&leNz25yNfUzQNO%x%z$ zYLMfwOhu&bnxN}I7OiiTm69<$6_Tbq+sSqUl!MoUaQNwY+wt1wajurxi?qcS?@LQ7 zdtEP5ER}h(&S}+KulZNO@VW~$*g1>N+(Dx9mjm(}KiiydJAbpeurkSw=)Zn?SN)(@EnOXy zXe8l#VB2U)JvqZ?$yM^qa;kArD_}_}g*GrCGm>5om~NTNmS_pk6Yp{f{GOsU4it_D z$c(dgCw+F$0)m@J5|H|z*wR8JHcsT|GQ@xt2g7&g>hzHPb z`6C>kEjEsGZ7ScXn*g#G=bAtkGVY39kamH8!K7`LAQO47-mEbav%9Y zpl|OTEbQA5lKQBY!~8*uM*XXaG_grDA~Po6cyfH>;)~BD9!FeeB-!@oYVefW14VJS z=s@WqKu{!jm2%j}F=$#=^y;NUg#(PPwigKoPY2C9nM=v!4PiC!iHJegE{~rVzPzB{GDwPOIdI}b>EWvO zI@IQ4xg#U@;k77{>x4aVvHc_s8B|=k{uTW&)XxOKiEwIWt-d(3v{IxV;b5(K9FV6c z1PXs~&jm^K3Xw509^Ekksj*$!WqiXux3n2nOP`sMN1cV<*R16BoOMhv9*Gx66z~AZ zObPSIi@3u{)Rc0WSLm1f!bKI-r4Wwsyf6XP*x>-RJZZcfS~?6lj%{(L7(5}76?X!zPx`v zI{*A+%{t)C?&)t>&68&+z5D#KS;=zdJO#>Ykr z!J3VunNiRYiS|KXGaZPaZTDrxwDSfFIiKGm_s(P@&?d}*K0FSnz|rYSkqbEXu2Qth z)Sop~iBZjXh$qM2VqB~1>{)l)doev4K$zG0>5Bs#0YDaKq{e}o56hWCAjp?o>?=L2 zMBvu0nLG6MZDz>cI@fqym4!8z7Qny=&E!k?&88F(N}7;#Jdo((rw!E7cRze#i9pwZ@G8M!_7+0iE|!x`ms z2860K{R7VD7ew<)RDn@RE(;gfGf0?g%7>?TIdh~Ai)?$yeXIR|WzUZ6MCu_|T7}0{ z0}`Y^>;OY6ibOJj3Xk$&eoiuhn)-&Q<|%QCVpOx3KRx4V3S+zosot47yz7+(ZvPnd*pr6LM~f`yfF^G)6d9*{?Kay=M_bX^u78;oZH z8YNSLKvW1-#MOp5415Ca{)F+p7;yKU%c4WhfPEqdxD_a6y2doWcYMx}dq8PBvt9_v z4>IdA)FQk=-t|^G-3Z)d=PGaz?kY&=uhM7~vm%^%^D*}=<3Xx}m$a9igWMOO6e$TO zCV~O)F-uDp++OF3X=xnTXY{nB<|su8r)8h(mm#(aN)goC5my+=Fp?}QNh5$25O+(7 zg4^p{ATB%{>=T=M-scp^F=+=q)du@~7C_4(pA)M<0>%`CCL^+~XU{eQLe+~wQDfTc zx%rVg9O>onl|h>pd!CC0?e4U_B#Cxh@m>Edof2izobup)4{K0C{RhaQAPxRXbl4=H zEb7hU+8%s+fx;=iz(cnwz=jjxKF}Z*_=9pifz>?pX(>SL3Y{=kRG~k(SA#^#`a2+F zDl+T<(uF!}c#x?1;y!h1z2!uorwVm>n-|bmKR9X=%u^^lOwA#D0f_$>MX62@NZ(S@ zR2tUrV#N$umedGxJHx=8MT%%7^`8M!836B8bk>Cz8LG_@{{6W-fhi3w>xFd@{M{N=qS$j|O)wUQ!4_#fLP=C~WFQ^^f z|9B!Oq$?VNtA2m;(=%WC>&w>XfGoi?6(6fJSD@uRaWB3+kPk^BE0iX0y4Ys66qH50 zDCHX!`DmfkqOx1cg-h?8t^r3RUywrBgC!5w+-e;`?q$!54tYJlQS_KU^!=pu@d zr0WUqp}x3#mMb+CIC31dUiJO0K|u-G#&=!8(4(7z3|dKWJ~4$ad!H~E20mKpf%ZUB zo@($k#gxl7V2Y2Htn(O7YtSKw%VrnOusx!|$?{6}v=?Q>;k`V3;+XK$@>)u!1?>#xIKU3jry_m@G(C2xQ z^|^^Uz+L&r@0iv`FnT$D=uR3)!{M*^k5V)UE4-*${s4>`&MT{wIagTCP}&AcC9JeQ zO#Jfk<+SF}SpydZee(8i3gK&~9tk`qwhSpnYx(6z8JibvsHiY`y?5P4`&Cq@SK){% zcNHL8iFd3x7+x=MaZ(Z1;6tIPl2PEv)D`#Qt?xF{isOO%HeaC`H1Nftl-DH^_7AI4 zYmWIoH*)6Q#Otw(xT5Wq(PNhk1crn+1|NAeXr8!1SPGBraVgM`_xM`_<^tF|Q!yiV zI|)F$u*S?famA3m`;VF!80us_P#BC+)vgYB!q7tOka1FWT2BC}#}f9_kerB^#2E6* z;Yf01%-~b*QIp?Z^L$YzIN=N^gccWE7x<~o7^yl2JRhF_3ND4@|lb zD*EP)`&7p>a+s14g8#d>3fwgArOl2EEsO z=;pc%?ImM?UBm!AVb2{I12aUfn3%M7tq@RWOM(QXspF4_W`gp-{>KxHq)k98rlm_3 zx=R^v1zKiHRK+Dk*Q8w8zwmCe{#7RRct${6-TkYin|t`zjMScY|Kj!uAp7ExHCv^y zMXxj>`KHIZz)8nEZs{T7?# zFUA{jxq}DtFdg@K`O-HwwYUwojJ}7=ZHv=$c>Dd$9&uXzcZzd z&|e1h-l%;=Ig()Z1L)$-VxR@`a8~`EJpceB?~=T9mGojgQGxrdU_|8+K<>NXetsN& zgyZ!Ai9^Zq5*kzmqa^T8fXj*JaMxis9b zDR@7=G;=PG`Rj*%&GQer#{&e8&l3Nnqdjq-O|G7EWkPD;<)NbQh6hFDuPR`au;$QJ zKkR;znW4KtX?-&TIGH7oge-}DX(2~a%kyV#;%zDND&geYHDRZ|X;_YP)aw|XJTPEn z&u!m#OgdO-3`5K*GkDf=;A1l_D9&_3(d-+3chzDwmo_0og~!fFNVzcX4N#U>_j9$f143Y}y0S#QfDB+^prV$cr|Ka! zW?t#3b6lf%!9*BCtEvrry)WWBDcLo&miRHYQj#vw|Of*yupDAZ~fsZgCdos ztP@iBMlH8od*w0zOxk1h0TapxSDrs?r42HV2Wi^3gNsww&dXoie0PROUB8K3D=$~4 zy#~^n{^p62ARQnbQUxB8e+0!<3=s0FNH8ZTk{1+UHR{@XJQg+xtICWLi)}BmJ0{aq zUQ=RL4iA7Dmsn<+;cTj|ERfs&QHvE8KFYR=VPnKg@Pa^7t)Ngfyu2DN(RzRSfFrK=eHSNh;ys?Za;1^9H`q1Z z-K1VdDFoz9jR3(Iymnjg+NU77=8z<{^PvDziWdv&XfO0L^{%{7lQLU-VyK=LU-Uc$ zokrqVP8o+=Wh>`YOL=KWt_nT~<^)yOiTW@UpTc@!gvXyW0mC}$u(rDMYm&X8(>QT$ zQb{nU$pQtjg4nc>osU5HWrQT>cW;&OnK3w6-mWn5ILJW^4#9ae>1ct%NS;yamS)f; z-yCj>-Q)wRYnAb(fyjsp_C;JEK6`0G`k<^;F?%VVmR2k0kpvP>@8GTumUz_HGv$O=3w*gdj+dRoaSaJQciXB-)_TxLjX1}`Wr$ToSS z%yic0j!u*JK$s?|)s-)9yPU0;-I&$#rjfSUV(O>afEX4SHInWzf-Nu#5gU1-d18nV z;DJGVw~QGFroeJuiCDrFSb1fE^)s0}Kx#+_ItD^a2W4DyF}TZRqdp@McWQ;q*BPxj z2wM*l3`+w+_s4yU*F}O;=qT{q_Ss~$r}nF)R8j9GCp@4j+yfMMrPiIRKm%hilLHW2 z+I>X{z+P_dxgQB;n+S#LNh@#S9X3Gf5i&_i>+G>xt0eV?-&8(yZ8WGG*jT+|dc>?z zN@%Sor>JnMYfSMRP!p7<`+2VVbbSy;N+05}7xB2WR&&pe{^EAabF-J09pyMK>R$AE zF1e_LQD4BIdLuzw-pZX}YBod&jC8Vc-l$gL6pJuFqRa7kfa@l2pIzU3niNnug6NU5 zMoKI1aRV@=bWp$&TC54mR{~x=l;M-|2nO+y;o~dW#e)TRzNlM+ObMO1F8HH!1B;?0 z`;x7ql(=1fAdGxbvy=4;QUGnje>}=&+9rg1)==dxT|s!8S@F>RIh1TL+Y1quPVx}P zp1f!T3O_avkRTY{^ByCyaU5-+^_58uCnLA&b8n@+e{l~-D&x5<&d&)dT@ytWff}?k z4~cJ8H)+4UW(6v4v&t0ps$4+@qn^`MIF0%4b}+C$o>w#Aj^cek|FYCfMPMz5r&NfR z7&aahE-?%O^Is75(wO&7I)ouHU4D(whiXpAK>q$l85&=XPRpw*+N8!Y`2zM3fW}u5D7{4CNq(Y z%*u$&kdeJ-MmFzx)${wm|KoVy<9No=a~#ioU-xx=ukZIfKkH<|Fs%FE)YjH^9%=u7 z+x0~F&hgW|`rxGuC9*P(Ue+pu^`Z1lJg&Uyunt7vZEx$}VE&9D%w;}-L$55R9!KvQ zvy*V7#Ju}*+mr!bA`LmCWM4VhwCO zVi8l%Qj-|&r!*DZm5O?!v&?Mz{CJOfQ$5iJ-r`FouYy}j9{%9tyewB>XKgj^ezP5r zrGFPq$qOqeYVt;=eJBwvirUcik?2LZPt=Mjqe0u*V|)yQBt&PkjJxZNel4m4hvYnP z`l;sM|M{lL-?wiES$Wg8dJali@RY~**OhTph=?ed1mMWcrzRW^psX|2z0xgbUh!(+ zJ4NC4!d>!O$?pn(Ptl8bb|d@1F!7!$(#{41Ry{?=lVB`fl|=(Sx-m08q6%3;s?FE$ z2~EOpWD)E+XVbiBDR;n8{{){y;)wkDsOF4+U4;1+DJ-&hU2tYRNGXj~|B~JW3?d zH!GRH!{%E;oM|fh|8EnOz~bVv;VImZg`Tme&f9yt&K?@Zpg=wmpvd5<#(!yx2tv$o zJZB9_{#yhATI1OXHae^}N#I-SxV39+aEBE-B{e&bM@Y#ndG}R{v|A~ke}*MC?ioQN z+Y9~fainkLFZ!qe%>~#0#QZ=!RewbP5g+ql2fK^jjFii&0JL$D81|&Bdpq9bxLKv8 zrOUzyFb{_oe*#7K2cT+FlQ!;eGi9u`)@Z#-a(~c*U`|&OZjuN8g^pQd36PRq^|ytD z>=O3{cC`#FB5b(=pPOYnR=e@d{P+^(TcBxL2jAV*Q?+{Z^8HH|`|*=e4N3)sJcF*| zv~+m9h-DiH<7c>K_gcxFMh28=fdt%7V7#T+*i7k zGM4@_6${vk)k`z6^;z_%aC5M#Ps$z-5dBK81G+y-G?JYhp2z#LM?WvU+;1uw!3%U{Db)C|wVp6WnYS|osUD0IjVhq6oO10eXxEBJFL-b1UZv1Dnl=-<4FjqNgg{ zl7@cx;Ve3oa>)IpV!!s8N$*-@RJy$O>RATl`QjIm{QDwmRbE`#blh}q6UT1l#a)Ff z*08nmCb>HEJL1KeI>$3S`Ap@T#8kC%Hl4QyxGcW#yGGIHyXy<>#`T5eJZFY}$dDH_ z%J=x;?rV0l6z0btg}#C1lS}g6>%T8pSF3jnAZ|7n9#+OGyC0#7@-Mf3I=@7UD7BQw|3l$P5RJ6>uH@6oc@oHOxH+l7y9r&KiI*~q zFt&@u3aD`CxeecupJ@)9ZI91jc%6L_%GfS%sVDXM7z{Vs;D~m9J#0PDm*6)iZ*y?J zTL$*U*VuNMFY>TyFvR>M`ftf@*mN2(Fi4nEb{X%)kZ&Zv?{pAW}#$UX|6K6Dp8>9g5W1Pv(-@kp>Oi;#YiA zfqsx|kTj7dn6&8D08p@&XYi^P0pjP6l->wIgm1}hfwAXU&rWDfZ1rkUIN;G$VS~BP5=H9&1fVjc{e2E6?vCaHs|2C(eg3rE3*Z{pA)wlT$gVgQ9yREyo$Nao^zN`(H5`lBv} z{xUn-!O~iq>6Er)4G8IGT z)fcv1A43zM63HY8t@f#P2=q^LrCy|n zcI`@7Q@J!JJGNbd`G8b+{T}Zs{@o@*cAN(g?{^$`ywP;}0yp z;70GIoE*;iF7fF#Aey{EJ1I`3(uSWW#dXK-ImMt?{rYFECBGPnMu_@za!PjBccbtL zoT_g-ybMU1&wHI5jD15|aQ)ZTZza;w@&hd|&7$;{-8-qgf zH4PP4h$Jbwn(g0_^CMqosD9tP3>&pbe_7pC#0WsZiqR2P^PAl`ws#SMps(&{(dww>l7CH!;QGQn5Cj>!7bMBuP-XDf+6X%M^Jzai~c-7VYp|&=?85tSlhy3GfKRH zB@cSwtKc)Xz1Q515_TquDsz?EHpE(@I(}Z9;Im?IjsU zfbbV8{M1yqbF_|=y3&tAh~SkkUQGMJRzKwQSh)mjrA~Q(ucZf`IwZGDvZH-_0fkWd z-{ORBGi}{a_lF{+&7t!uw?y_hH(|MG{e$;lFyC0MUfZ{l>A57|JX_%)Km3J?kDnM72siMia4Gfw zjtdI7;)Qs!KcACvF7K^Z;sS&FM%KI5J?xPu8M)RPKuvo6r-sm}BfkSuBPjiC>=uZh z%Vv z+g=(8#^%qBO%sD(pdp9Q6a!%|W&Ff%!FG;75$v0K`L;Do;^-3&mE!otoUwfGi{3Fn zoQ=0%oz>pGXt&t5wa}}ldQF1qejCzH?_IPH*cS(JHhrRdbS@-)yaonS(T>0r1lp}VL<)2igQIqg;!?xbOeJ$X+Rz-L#*LV zsQI1f$Ow%&w39hC=cX;0_u})5jem!;)g<} zoB}A2+Ay9Idw6U4@%siWY{DV0gslG^94x+r$e$UnoEK26e+Uf@_4r3zYBqie#@k(b ziuZsN@!#+t@6W+)W245e*=}OBNLUakjyq*mHROH-n5geAe(JKyW6NkN-#_ z3Rt%E+NpNuyeX0ve^fgOcOnb$9HCAT(57-DGWuJ$Zhamq8vgoMG+$&aX!!lq5dSN2f`XC$+4+fW!CyS_M$f}~^%>cjbbzo~^H?KWDM`h(N!%tzoxk}5{n`dlM zzT_}i$xjr8>B&@kXdz|_;FM|LVYk37?n@C+xT!%ek zeou;Z_ItB!TSDn4qMbcW0%2V93F5WQ@ec=zE&x$R z5cwlU+9(LeYJo@;HYf}9vqL0w11aqOie>&|t{0ZWX`-Y{1bbhQU){R7-A<|Ow^<1W z#5QdeMmpu~w0^_uqQc^~B|TlUi>^-#jsjb{PSKLH8QglJ_lzP5 z5i5droYUOSH^u816kBM?^cFzIX@0?lD1eLe1{nP-GGwzKOJ~qkqtaLII%kX zSk~uX9K=2@{H}R!`6!QfTsaSJP2^0c_woCxb7OGs^t`v!@^u;D>7dcY4`qZO#e-0z zfeH5HgY3S*$oe*Y`1R@OH%LQSc()bt^S6eS*P*L?$d@u^HQ}c=I}G<-`f&7#RL>?{ zjv9D%YM0TxL{ONJKuXtr^h4ZSg&a+ET4Vnd377c=(${y$gM!8iy-qdS2b?7^HHe^u z#T*~F{*8+Z{n;uzyTdcJrQz0f?p*izQ@WQ)2==<%CIZh)M@X&SY^G;nm{7$BHWP;= z^O;X?f?8%uTNs~H?wk$=ov-sCE{GJbR}|~jko+i5l~Q)&py0G z{8$_WpmfhC0OqB7y_oZJA#F5uB$SB9di1l7s9m{+iDfCoe$`z3at54-Qg3{|Vm!m1 zibt$*#s2jx?CB}u07^@vfO04uX7phmjdK~<11VsZrWmaC`r;FYXFSsJ;;dD z(==Ru(bL0H6G$XWiIf2Ef+tkx5z7w|3LP-u6a1!;66NS{wi#ldrt@-=H@fg2AkstM zdE)sS)uXdl!w*t)8Ks9PM-xkDw`hC@Tpry*h<;9LIRQC8R~#zb2fN%!jxQY-`*@H1 zd3zOep8B{sn@NrP2XaMnD~ZoIchj`(iP|lq61DG`2U-q)r120CFs-^pVkb|7(3?#c zQqbKv$EY%K{nwNAzNE+5j78XJq0Kjc)G)8Iu#~HK7p?s;-OHB& zc9dTg-(QiD&``SdJdo_nwNp`INR#QKj>sf#QB5-$ycJN!kE8kgh~vc*Jk1?k&n-EM z&hTW+TrbX@P%q795!L{@ebMy~63f74<$t9T0ai%!LOrX*A!!X@6Tc*>6jtHLHbB_$ z`;RF_wMB`q3y*B%frEinrrp8fz>( zM2}Fk5%*20JCz~LwKzv#wB(Kt9o~0CBW3&xJXn50DG$wN8aqweIc29($&!oSos(h= zqdx30a86&V1^p^x?Oka~s$~Mg3&IA)@@_%?bk;+_wPZmHr`H$|C)rVB_Kh_&+%$Y3 z^+W-@nROlz^u||1`S*q8P_BQD9oLhv+bjX3A@7`JH3y{-uK12Wk9*9mYVB~&4kx4w zi33W%6{rk)b#X*!P_Cso-z`k-aSp@0*}@(fpv|~MtjZFbj{Ci7FtDKem?FJYl(^-R z?w5BzgI;5}d9c0>?kHAL3+D$nO5}VNVsx*(XA)Y8<#z$L;2Ikm;}P-}v43d)4ZBTgAx|#b(bR} zlr%|Cs`D?2;12K?tt+pm;Y-v58uJg>SKZ*ksDGNM?4@nOj+~!yc92*p{)6pU5yRK< zr~NdIx5Oa-!s+ANf=KbDqu{AdU9GEPOHHabPPDGf(9URxwNERH@^CY_R+{So^hIxY z1{}pcaR&;oGgRM>3O7?;Gc9p;rcoHUVGQiT2h@|@E=7t~2);X)r~4U1#Kc1lzXOEf z9TT=9D&~j#8g&0nlCd(bCn{OqBC39#q~VO;Fq(zI#J-iYjh~-kv|c1XNiSr0-)}l> zU-4A4)G>5&#O>ATs+6STQmhnyzO(ofsn9UflBXL8vkp8v+Xe+xaIsMbyLoQC`=j>T zS+5j6c3Qt1%#)E?5-EP1aJQR0M}r&FfA(kC8KENk_4{6zC(@QdyQSA$)_C0vNNsBu z^DXz{Oe|&p=~5a z$3`n-<5AGc-7k??pW`<>*JO9pV1qs$yT7K&B7o<$N?}o!1Q)z@wOtZph1u69)7b$N z(0yvcH2c1@906jNWHN4t!9-X6ER7`g@dhNQKJnMlCLV+`c0aq2Np31HB# zg8BfVXgH%=1zNuPk;BD`)&1l=@ovb5(Ca5C5pJa7Gzp$3RL41FZ1s?`wMkA|t<#sR zdVI)emdQF~rH;?&emkzgslalwYG#?>C<2^)ZJRFnt3ON0L{bFrd zl`GpKdCpCfuYS0D?NpC}az}moOxi8{U(z3&o4I%IY~EsUkex84`+N@ZEpk>=aSJ`pR-R|Sc8}CL>qaTBWNW! zxx4H6Qd4WJe!g-BE5tsmlmN4fZC+3p_EgxK8$y011GHRi(v|Z5L|@K&L|i+!?Le#S zzjfhN-SKwuqC+jnxfVY*cB?S2R7HcOEc#%zTFY~_G3wIiBOZ94coy7?rNCMJKy+~V z(a+K^Pm2|KwEyKe(n^q9Y-qCNQJ~-FXzw5{o$Jp7{o{CE(xWiHj*bu3^2IBL{BRP= zK}?t@UIk*0l1o?og-gKJ3m^?r9~Iz_nj?UPhA>ld#8J~ zDkaz9ODLUAg^j7?AE} zyzjL5ckiPmb6nLcXMUvPdf+SrB-6Gjd6@^oGK+_NTp;i687`$T3;Fn?I9|a)My>fD z`Ef%n>_Ur*M>FlsW!Tgum16ZDcg=cbPCFU`wI>kQ#V_WG#`s5+;{{(Uk?gwTdpug@ zD+m~}l=1h{cC| zX0AkE!}9M&T)9R`N=eNtDd|wy>}M z1OMU|cLqFq5|C9Jaz*LEt2ERomohhyy)!gfABIgWq_1(TiOIrU^ySU`(bm9+me_um zs1+#eiGCg7v>kPN6B{(znRWc9jlVcoyy}V6av&B*o_Pm1=hkWqNwIhFbe$Y}uU;D+ zao&JX=+NdEmGTVujbSSfXoBKsw4}9tdJg4z5%d3RxfR17_nAqCY!R%gABN z&}l2b-(0%D0$e8JX6jQ|Z>F13@xL-Bf|#9{ORjvK9bKK^34i9N_y#9Y6 zzTB#@_**PlQtr1j&lO`_Pq`}`BAM(2sFm<;>10f~zVPPWJ5jmnTzvABQu?c`^NYS% z92!T1=_^_GRN|7p=#;G`7EFzwYVd zp7^r-*nWF(Pip*kK>Ojr+lV*m@*mupOl`}*C<7wJsPkFD?Me*dqqo!X7c^_=Ov=J!tRXY9?X)Y5-Wjc1h?768qX<#UlC8Z_F3M%+v)d z?SUKDnK%T$xE(?vgm7DMfzC=T+DTcSWwCRDXTM$YM4csXPyMawcTa@5fXmhXzpnXb za5J;F$(J-QU=G+4qV1_rY}OK!p%am~v$Glt+``}`jYtqeD(3dd8H(Jmr^asX+ z1Nz@rv>{*dP11$qMc*Ok55YNEV*A ziv5h=s@glxl-qRqRKI7F+XD&L#>$!89wZ_TvR?hx+|(ecF&da_(pn_`kN^owJS+Pu zBH1U!(s%yp7y1!uRpcoQTsSiumW=b+2dLK%nSo5o{_}GU>SQ3J>$>xc4-dW(CB8~BrpUw|L(ING- zV9Gq?4yxUIZ85xlEhK+)@LzEFN+{!xXiYRBxO6(9;WaH)`#YA$FkM2TX(7(8(VnfaF+Z$G4WoW0Ov@xPowYWF3rV&T*18>r6>Lcnfwdsnh+R zAlj)G;UD#S?{!3P9nyXB1kZ{&u1xdV-w!uQ$L-U2tTv1iH_3SWuGdJ!7hg>bRCZrw}ENKxCTn8`&DmwX{Ou$iP^1BJk+UnPJ z90H-~TWYbhYOSI8%MuJm!z6emTY+DEWs2Zoo94&=w`cy|OdZeB=u5{fAoKbiX08fi z`}^zT4e=A55fF1WodQ*k&y8c~yt5L^Ar{x@@&4}vBv98i)$sCyg1zYH|xBC%pjRC_wZ-Cv7fu&Oxs+)CPuiS{t& z*>zD@K)DE*T>(~nd3%KLopS^DWwon29cK6E-|)X@@Y}WQdXJ}_HDEK;|j>Z z!82i|-6#QTLckenw%5V!+l`(6ekqqMo)M*ZY&?_WF@U;p1Vr)Rp|D+m5-Jh;oA|q( z67%C#hW9ui@wP z9%IRZGQm)%3=X90N@L5QM|Q~gy7FvS#38K0l;Ks?M#$cfFti{}1|T&s%svOuneHZ|MTBc$g;~b2uEH9wGtj7 zb;JiwUbI}F8R%?FgZ#5_mGC(>Kl+JP`R$y;JYxaYi6HIvckDgr$5s zuB^(thLDR8Qh^(u9^CNj!dCkVpl%elEI#WLcvNY2`r+PLPG$VHO)NJ$^;HC;6rVay zQdt(x>cC)o!mCp@9%wnrT;qx&YSNnn;HbCn!bThU;vPTbiMq_cv zMr7NuBs_VM+~5NncyPwF!BoASjvnw~izoqutN(t}S$*<2@HFAft>oNDcs2YRNomGq*(LEE5r*JVFNTdxEj(x2r;~$m^4~-OA*XE6!+ExJn1}>!Kz7K{rG^t__lq zQsQ2}ax;L%4v6$`z~%keft8ZCxRTBWmxjn3?d{Jw?f;JS7O+#b;euQsw}NiE^i}4i zF(eeeh}fLqP?~3#cPigO0Mwu_B=Od_DER$(q7%f0waY1%xRm&aMSea5;C1cR84bk= zvb2z29aG~8IhWyra(-@IriUiem9+vMe@UhLUEBVLM)+9fQ#P`5FDM$DAZR{IfE?@~ zxdg;CBZI`l0?HhaGC5a4bTeR*V|e%!Zq>U+VP1dGNsB~C{MRW_yY zsdC_$(5?mpR@{eE#YdDe5G9@5;8%cja9*U2pZ3oN)F#uX5WRT-BClwPbbbccabHA( zutqbHMnHhi5NuY0@eS6Qu)MlIpK%{#GtWqoh_93Zg}(VY`39|ch5Bh0wNxAkvXiUB zZNR6bW{{gmBhHRib(O+9r70Po@MO~>FY&e&w{72y-EeI0W2?0vGy8@`C~+`+*M%=b2T>v{qq9&=(CkoX$@lWF9+1;8>)jev&dJd|F5|6KE?WN)J?V&OJ z-1X9v=C2fUCFlrw*wK%#Bj!#YE({&YF_-)3kK&0K&oF zS9C>%$97*+2$YGAy9=R_I}S0ZIy2Gu2?-$YXoavx0pc(8`i;YieJIPer_1kxskz3V z)Ixw6xzw`oHscPv2j^pYhW^)01;G%F`sRtjh}+ta@0>45D?^f9sZhV%mo6Xm%(gXLnzOG8=y7h~;x8`KYzZNyTO-qn|XcCO|nO6buAR2_it%h{gFs? zF7_fu5A+(7c4{mF-SuUq@2!Up+Z}(FGnT@R_Hji>00q4_i@~IsPuGiRZY_vRj-`K3#2I(Q&oxnIZw-Wl#1x0e(DGPC66ZdMO|v9qOH5mbD|6FLuxw zycTEfvo62#v)T85%<_#EU(~t9ivGBL2bwF8QrqM#uB=9D?r1KJJ$XQvzy?h8zIjV879K(c4NA27 z_f#|gH~hmo1!*MBTKX=k$0gC>8u3p6-R0K*Ac)6X&Rx|uAmKxRG`H0~z61DMGpX~JRx#kN17|ag!)ED$VOo9%t;{8{T zJht(({sE@?ryg#v*ffSbO)=zM4oeN;4qZ;!@!0Oj#gzn1mU7Km} zJI6^mGv^MTr73ryNn%}*bBvP-#Auyjt-|{e2WSBYuXTUs+&>V&wOn;nU%TO!u8 zK*NZ}HsS{0F&sTJ62_@aO*l@S8U3Cdjm4RZD)B&rcK-BPVL8Y>DB`o0ueP>{;2w+> z`9ciQgO<8mg9}qG<)KBTAozMb!Nlr+{zhgfj?BP>sBm~0XoOpkjB>pn;8p$`0tAgS z*CP%Vrf~zBboOwx*!6g$9Z;0KB^@PaV@tit&CG5yEV}s|W*))L%ibxy8J;p3&!^bU zqT8$Y;k~9dS^Euve(_%gQ)J)ee~-RdKY`&L(pF$OtGYKzFaYuJM_1>wnP*fYnJ#!A z43D)%TnIm%t%curs=NM8NoC5_rymMa?Er*`b4(?c<<)1!WBA>u=m4PRSB=t$L6Ti6 z9H=opF^?egl7$-2iV~InN8&~|%gd~$>$(~^Q3T^>g~JKvtX<{$tpKsH2gTM?YSc~o z8O)Os7+m7?^&E`iBW##mm2l(ECZ zVml5Qcn#rFe|L+~!_rn|^%V^v(!LAXS7H|Z<{Wrt5!^oCAQz~x;PmJ?w%(a0zD+q)!u!Etge(e|g#6f1 z<}l*@(e6nv1YPChZ|(?$5fN8nnHVA4YseP2NFFDUGUJG`D@R`D*j$2?nc&c4aG!T7 z!&(3oA}gzVm!C)N(gS2;g!( zf2ck=#A&8l*6Hiy7?cjy9Jp@3>p~?<1<^jfyt$aeH_>h=hNyb#JBpPM&WHGzXNhm9590x&^f5!!9bW8Z-ArPJS4P8D3qXlj0Ny4KvDoG>&Y!WL)zr;Q(HGzt-E-6 zr>}|bf*F&)Zm+|(@4Sw|IajqO($R;jE_KnMW#d0(<))6vnlhZz zcP}OIFE@kOLu08B0>~>aL=LW6bm&t2G@^DqDwATH$e-fn1qT%;4V8{KKzf@U6;`}H z4}Q}#Hu{KBFwo9+^sw+e$gd-|_RMZl&G`T&b`s$$t$=@DOMQ;v{9lTi7V!ZubBsSK zUU)6E{HvaonTa-jnFI<+R|krBIBBRSv=fkoTWjm_-L|)XM(S9oanU zez2_;q{~B51!B#`-g@31KgNaB=JO-pe*s-P1MY6egsVLskuv+ah+H-5gZA5vwIgH3 zeZhDAKkXin{CgbleFmQ(0z6{G=PT!f8+Pi+uV)VRh@BWh#9Q8-xnTT()`K$%^u6hg z1e1lV9+CBlOe9{C$fU0MGKlEsbxdKL`T97N2r(m2lL^-D-r=N2I?9>QxKB)TBCW+< z+x#FfEHZ%*yr`UBT>Q+P*&pb z1F(kgT`IWU)5_29h>%=na-Hu~2T(6A{;(4v+7B5|xFr{IiTk6Lq(j(z9HC+0eb;UD zYs(f>PRnyY7Na65sE%KTn!wRK&CkzI6YoeYgh|N6q|Uy4Mf9xQd-nYHro}LfM~Vk^ z>}>OQTtrJM#{kRkv;5CWR6Z6H)E7Y508Yq4g@=gC8PwPXd_5{?$6p}udRwtuBIy(F z4g;dz7Ert_5tS451((R=$zU~&E927P$rQ6X+vzt%&GgsHD5LI&-@Ft+em|`2b?d9` zGRxV>H~p>+cpMe2t{x;V)vj4r79V(d zGDmJoBs!Rmt?js*iO-p=9aJNEMfV^!q6f&H*VSZ7Z61KY_LK9tdpSFQn6EzhbBeTL ztshaA+j<`){OE+~W=$)Lg|!D)2K!qZBZ|y3gXyTzt|1Aydc(~788;k45=p7lCM~fq za4VX+IjPYScP3L+eU-chx$Ma|h*^B(vPmh?1W)Hy(tK-aimSb`JgL@HF@mN>TL)aC z233xEZE9gpp5{CG zyx3|b@uAHF7i!O=t)&5>b&KydgI0_IL^f*sx{*bv?B}~!z0nR`p~okAtc$>DJK4*B zF_5~Tl&`6XUzm}~iy^OSV0}VNiT>>SCGCX!MGM8S()R`iTms6LTeu4Tn6(-bRC=LS zYR2g@EH>5n<;qyy$#e_;Q8jsnxHbCqX2+A+w=hzLAA0|?S)->TeaFu6pLVGHcE#<`2&jqn+(cDDj9{ z#&5!ZW{pt^rlUn;qaU~{q^J4H)MzDK?pXYEj*EG(GIi}|aDTI;d7amE3l%cYYZ~y2 zZKdluV0pvOE6Kepedg@vH4Y$nE4Imx0KB5px~;ompx_@}Nq_4g~c9)4Tus*hYCk>pH+j%x#gP~EN@17&I5!B(8j=i0r;;>C>+qYwlk zd7})yFaCpOKPqoibhBhJDOt6f^fF$7O+=n?K-$Q6s&9?l%ovumHSd)>bJ?Wy-m`M|4@JhQWXy{S zo~;^M>yn~fVz}Tg=G#wQ?2idB*wI%J!FFX1Uv{sQNKYs(O!eaC)h~F>AnP^q8!yJj z?0te06%1*LcXLuUZs|Jq4mKRafB_N_b{JUru8t^>X!>-qNF3a(Nc?+cBx2M_Dmb_V zc+_3yWulO@(T&%-KCFl@Lf6n|Id+wGXp6vfs(v{&)xy8Xma;P2cOb0+X%rC;a!(kO zq*&i5Es_y!eZE;Z1}>%kBVECybvs$7o>OrM6F|iEf>y27yoV+b3KQKAfZwBxv@3R zFAyg5;Q8e)vnq8$=c1(p1_+6BxnQ}Lf2+75!qlXCIZ)j5t zeb|kpWJ?<@V$`LbU))YJ&kjp&OebbawzeXBKoIN!9Ntq+4Cv|UX;ml!Awfs5Rq?ZS z6L@0p0iO(2c;x3N`oh6P+fvU~lS>@tG?#pN+5@{-+FgWpYgK}Xkk|}5dQt2C_WcTN z7rAFb!A&0FeV`Kfd-DoN#O>q!V%CR&N5~E&v<3exs-6(4~Ki!%gnP?il=>JCC zdwKApwF{2vM}Z&eH|wHd-i-8+R;>4+{CPFr=XWL9sTW7J^vY8Ahz=l87$B6{?ETO;cHnq2 zemA*G^t%il@%&p@jSBdtj3a7$K=IB1O;5vU^Oj$FNlIFhgRi%O*5OX`MPe388Q9C$ zN8h_Xm3}g*)Ll-tjD+O%6XSn6siM3?i3Ic6k9qF=4Tn(Lj2L!=10@HAARGNv~p2F!w8%>v^97ae{Y)gBf z*kVP75Q;sP-|_sxi=su=qbUI~s%J)1vMvI&m(K*1T#*@0a1LhzzDAf_;IQ-*yxAI9h$P*5 zfW|G*Yq-%phT7VB-I7kR;l9RJXL(}EHl$el!38AEMid#pW!mGbI@aihxM_Hl{s?VI zrsvHoK8fYiD>%-y?;cZ3iqLo5lysE5pQn>`55{U~=Rg0!T~S-~yh=N+Ry#H!fgg4X z=jh-nxQ)t$3g^&+7XbHs6O|@kHlWKFM1($zn0*ertLO|34b$sfInFbDq(YxnL0x(! zX!@`at0529-Y6)Cr5s`GJGHvdn`2y;fW6pDyd{6*QapUizL z6l?oVC<2YEvmQ@#5o?XLC(lvxD_2E)_ACmYs%tN!F{v!3H0b#|-gzeNbyRf+xoN(u zS3zBPBJ;5K-7f-%rKO?V^G;U8*gfGd`R9K$y$g)buSoZDA92 z%_aq7GYBSE91O0Z5!31-X#*(C<3RW#HsO6ACRCKnJ~o5UwsZ%HQJyNU&oFjC2yz&l zG9(w}kTZyeMU*&PX4XH|?QG;9yP~>I#Kk6h7|CFjO5ytF)Lu*7Ql)OT#=C3hu-(e^ zX9Nr|H+1cEwmcZO&z{qlxFSAuQA3NzK+rUsn`3`}T$+HAI7eVAt&|vPTB7U#R%KJQB=06=2 zCcVi&T@1%ax>6M@s4lXzEsu%JarVq%(|pODL@cfq#AVQsaW~KVg;l-2UyyFh^!5he zjOl{TAjGq5gCa>lr8oJ=LEc;6@+b=n6=Q#TkmQ>NrV)-!Ep5eQuo`y{JqYtdsslYZ znw?e?_pZu$bG@mTJ7IWSW-`4+;85dv-S3zwZNkruo!$Pezu@}PJysia$9T5?^#W4(z5Opj=mES?`i#&K6@G7>{O}KmR{1fE@E5WJc%yYMEhT4hZ1v z0YoxxkejZlZV^MJ-_Yw~F5?OaAvEf8le}lje9S(4nd0QnHt8}uXW51KtA2(Ra^AvA z$2C>n_VU)3Bo-XXy5uUTZ`JvQM(;h*q%cVYc2~ay zGxXkDaJ~~QfxU?dC66=)uE_et49F+S-`@tliDU8cnya?P~MZ5CJ72y)%K zT|RCn**<+2$yK5i$-^TWQqgGte&J0bnJ8<00fV%MgsuP=X#cSALUX^#g zJ7)XvbjODOto{4>B2u(F$B;^n=kGfdb~%r$Jrf6>MploSFDkMm!J)4+wfdFjtP&Y2 zFs)?g+8;j-+YFOmS^rI-H^=D>aWs6$YL{M!z$1#w;lfKEY^dsMLCHcj2)5qGo8SD~8U^N=R$6wh58-(SN+(4oHCqDGq zc~Rn{L=d+4Lj@4xl?@e=9Ta2@KdrybT9|v+!TyxE#WS=@5?E+OS@;XOF?BDm+WA}= z*{~0WMJ89SxNqgAba3_Q--M=$YikPTQK{yRB?ce`IO_}QG+)E@o4vO^&-S^jIk`6i z7F&2qF(=LUsKG?#%^gZe(xiW*oaOw1zo2IIn< zC7e&8+YUD>^fk99x7R4&6?sEQaDS)j(cZr!=I?bIl<0g)sd}>k;Em_PgPXFCR?f^h zF*{z&L5$9@u9u$irulLg+=4dcgo;IU{C?`v@w}#@q}KbOW> z?`)q;h6%lbF8w;3wl%d%i^wT#Lemn`m0I#CcqNRkiYwus^0Te@E*7<|kY1;JEiq3} zdyf?EdY8v{$pt5Y%-hWIJS1-Mw?%8oSTS07pHUb~yJT##xTKeZ^BPQ7^w#&nUXjxA z8R$MOn;(Ef!gOBM-*q_hQ3jO0rC^D*Mn}?LL%VL+r|A$(QnNtj0{;by{wP<3Kd$)Y zu73TMz`}yqqNZ9^bkyqX_`Tr=T2fOb8>tHTqc8V?r=xftt*A+^h~q1IhFCzfi*Odg zNfKvkj?I#}24Mf*$m&k@8XOY(z9cg}GxLm`JrTU3+}TlSzD2eerWz1FT3?0!UPBc_ zLDL?Czj>90Pf4n{WPyZB)NZKu6Y9N}?~#cDzLuHbtx!1=c!8cgsdO)?ojQg>DMW^0 zv6J_RVQ8YRbzIiKH zE)h>sN6r5;;0a&cX1ytdw9^B&#~U@dNqz@zaG)X~O`aFc0yA5r^Q^lt_dxZLZY-dW z$fT?MB!8}r-4!)i8z@deX4=S`URq&;E{z0v3>tAix%ZEnF2DIO;MwK!!|8bljPm#y zoxo`A?sxe?Hv7DyFA1sRW4sH0T=t!$bWEkYYqJ}`z*ZPY)!}|hb3n92%R1yo?Qze! z$D;(<+^!qc@cyKeF8}&8?Cvt~yr$aouwBcxpXa#(ZB$kubqJEhC2vPz$t5EdwL0#2Iz;Hp9B*1#I`2l)UZ zueD^1H+EpD9QikoxV$e@hPiE5YD1*6knr3Bo`I2LVVG++_t_+fwCl?|VVs^@yNK>Lft-s{SgWp8 zE$ZoY+AH+Oo0QPM3PUrGz!-8VM{o_duw9>?x*&=A)8I7!qa+<= zen_(rVz|T7Um3;DF!|WOi^c3>gbK?Q--p>{B2S5~e2YvO$0ROF;^%S&%C}ak^OgbaR2i6>YcjyHEac|E zzRHGV6qFy$!YHHZJh51Icu~yAgnR0(CqxTnM-}l$6&?a{+RvaQq+S}PY8i7`IT<0`#oL+0!k@A zDZn8&NeL$newgBkmR#`g!u7U4>9RgY`%l5+F#`$IlV9ZG!CGWMCjJgXRqRSYsjBSj zr-1*|dxf2%EtY>LW5uZBNrBaiP#1}-`!S2X*#C#UFOR2s|K2TAhRmU4*eGKmZ5hh6 zDJoM4nUjiSY>UXS4N)pYk<3IH8%)WZic+>A%1p?RkST<_-cFsizTe-y|J~R9cxmV* zmUBAXX_af-UNpl}V%Y2Sn<$svSYncL(b@9x!VXlv-&gK3!-Gyfi03Nb^5>H|22#nF zSV5d~D?WZVzy~xrl-%kYph-LiTN~%aJtU?zYln3NtstFX7&MW1FZ+4(!VBINjP$t{ z>gnC76KKwB!bxGlmCU9wv}py&3wP!XZ;9 z_3(^4HFw!0P`_`XAMR=30a~{+0G+jY%ps)*F8b^E;flIOHubZzr^qSMR`(HvD|f+! z%M5IZQH{+rfY|k>nub|XWUsHxYcNB2xwiA7KyLf-W1Gm7STtsx#S(h>!0!_SaAbiZ zUhE}6BZpU4mhYc_d#BS4VK)L08%p)>%lL9|l=0`!pO?ozLsQ9=s!C8%4g$^{0ijSG zk_#iy4C+=wb47E-uDXL66mqkX8_#cUVhzfIr0Y5pag$Wnd#$2it+XiNW7Uhk$J($- znBE}et+)UI^_w9+Tne5B_>(oAoo01!-##tGuYhexj_;|(V;S1qh^!yRr7n6=pq;-K z3&57<^UrqpGDNxF7Bez2l^A852A{?jS}J^|3Yd}&A}J!sJKjKUAPgerqp4zF(Da8t z*KpYA{m}^iGJ5qadMv139A*U@CghplIxA;X~dM zTmH3s+n{@wFPZI!4+|3wNC<-8P5(yjt1R5~r%KXNt-*j&VDT=>wJ}WBVOS-<r z?U&OE{HUnp%_SRql>^AQ_h?v!w@R>zGi;>5VIYU_zo*6l@FG0opMOx}AYZ3;Ygb$U zpOrBburKiyAI3AU`*m1~7!=LxWpjB=)u1esZj+bDm2^%QW|7_N>tK` zliibc4ZnTeuv3S@MDzG|JW1i*yLSaVi(0x#C;b#V8}7;IU^Qc)Y-#rF?nULq$jDRN zV_2-PURrHkN&HVa-OZdRtNJrhq|{)xsXF4HL_bU>cnA7XQ6jP#+#?R=nDG8|%ddhS zggFyMwvE-a+z^;qQPP^sG;oRzKc3pM_ZnZq1zC2|tHJi+1FPl7JK4`lWb*MyI^Fuf zzxD}Wir_%JP!~bI=)G4@C4+ZZ?o4LDwr5s2s`#effrd^Bl1D-hHvxe|sf>0OyCx=y zgKdo4*LzqdmAd}rJz{0H9n*rlkP2ZrCLcFw{pU^KC&CssIFuT>k`zGYFfm=;dQVz~F!G#+2EPf)Is}&oZhcK zAZC+KDuZ(6J|w6@0c7J*G)!1EVh*3>r9rlB(5+%P>lQaGF=~LtjAL`Yiu1@cQh}N~2 z{^!kIVMN1wDmA~Z0P})6av~GSZBjNGdK3VgMx0H!^s1dquP8i?F(_eyuVpe&XyOE-hogvS)Y|9FZBT>Q;n#YA2pl`&3IG};0po_<|;gnFbhml9t z5A3fHW^1K1GP#99zb{^IkslwZ=~^N$x?Ot#-mC%;Q_rROh#p)w($#|SnG5&d;a~m` zLABUt^a&2nQYbKZa_TjM&ONYjxC4#W;~vr`i0aFczIWh3SQxw9MFW-|hVp<_tASyz z*+@HZrE%&vxgwxg)U;zCyNKS7fDg~`>n5*qAkoiA_~>AQlxW8%}Pf`|z94 zRHr4q3nsh{#_8_mc~xh?w4I8&7kUaE?&Xz%3uUZydlEFBO(^sN1`oAc8vgQ#!#w5* z9M}nAR5Z_7mq}JKn3x7qoh&ik_OUH4jtc_g9Q;Vr%eJgUXd{qq{J6UPVO3U&N)-@s zP5hFQhhVZsT9kPnzAJUQ)H>CI^!X=`0mH6-KK7cWGA}NSS4kWavm2`eJKhsFEdqja z#K*^nrC`hDQ8;M_zyxP1dnHM<)eES9r9)g4ZsfKcB>MpetYWj8G*Nd-)aXD*VK%Dt z=l8eY48K0w*X4h#O~1JRniy9CbI(cM%aep*b@@9mMKvItl|DN1^K=Dw|FCQK2F0yMr1heYw?!c{TCkoHs?Sx?9J5X(2O_wu+se*PZ z9=7eRd+UFM#0VL)X6fAP-zS@Zd80($3gwPXFZT)6=lC z(zG2TUY#Q*fln!dn;P91@WvGk6TZM2>a2Wfc?uBK2~dd0a@&P#mPH8AYu;<;7*_`| z(Kfr@I;yR*@08$;IDuC_ChI3h2r@!yg!|Iai!;vR;jb^x$Hx{ZCt>7 z2I#bQ*C`0!rUtq{s-hIP4h&@>eV=VG!E!ySxaU+Ih&I0-cPRzFyPg87g%rZ@e(ww5Nd>!0WOI`KMnG9LqSi0kG}FUl*2SJLnqO3 zc6Ylt#S{?zE%uD``4X_CiqbV>tgCO>+Ve^_VMd~P*+@32a29wA5tr@5YFL6A2hOa_ zvj7t7PLpOUD0QOI(V6t(Q}g~}k#QV=gLY0A)HiWVrK|LLVyy zVVDPfT6QJ3dnX^NI|6`YQnA)Xc~vxlxwnMB_-A%1_!VQHM$mVH71 zBp?HWD))a6Ck$*_Ae?VUI;rHDk0yCc_1{WNlz>fQn(c7ME~DHyh}2u2UW8fEz)72A z-HN5&5HCow6eg8n&mcF*#Oq*$gw&A&=F_K7-;R$ar6V57gtZUQvWw1m>)_!NDd5N(I+kEZISuR7j*Sbl6dXw`|JN?@Hl#aI)&+-DGfri*ccONkXlk}s1X3#B zp~Dd0@rwW8HIMc?56#rMP*K**g^!_Bl$?`HTLYK1n|%L$xs4PnFtsbi__mOh;3^dB zow`asG_QbxLzl_fB!F}h3RMS5h6VD;tuU>soudzoen!VH#3?EJx5T7OjH)j^^?A2a zR=x7=<>QjbDrnRwfQmeY_)A{)1N1Kp4bPK>X7xo#Ru$wY`(V>})U}6WY5&Eq#<1eU zI`Pd~LiPoWbzxiyybV2N@^j$*hP))TQI>fB$Zgkysu??w$ttv+*0AcmCJdN2Imsj@q)HDlYK8DM?=ItWlz1@+_;(g@cJdC=s8)O<0xdI1ST_6qXWz!kS(;G&p|Ggx-ICMlHR&LZQ;X%s<$-!><6e(&XLdt1x zuSU-RRQTB&ibM?R@D2eMTb4a^Ibv53mypm&@Ljod0vN^NeK+Og}2f zutO(6xkC3vM<8JJDtx@RLFb(!`UQif{{v_@`A{7j@aN^>r$AFU;yULg9(J$J6;<3u z#&F1fC2h3NhGg!b7~K=v5r`acR>KoWBlg3Q6ptlT$Nl;Yff79;w}kLcG{sY8MC`!$^e zKnKI?uS1QQWZifOZWxMlXWlA(F6t-LXuki?D`61oK~=F2&s+n23EqOuR%{imnf$sT z^FvW1A(1*C0%snN-i-?%@_d3A{`wU%C1$HMxRNl`Ijx1skWuXlU@Kl9iftem!w$dg zXL~UOmB?u877!YzACW~P;U38wz=E{z6(WOvas;H+g+iFi_}{zH?@#gLs&bM5Jp-34 z1`H#j6ujn~?NdnxoQT~cxe9f(vQ=^wpeXl2 z4Fu8WP3NnZIy*o;UW?3u!8*9)_3o?4QWx2UNZYs0lHSMf=%)$!=sS5|Xdk1OrV$Z1dd;l~ z^4aV~OV5$}F|%;)OP@6Fnft8;7%3cYvu@|yd*=Fsu(tcwFR!7(*@c%9K7DTb2_GuF zmoD0GqFyFSqWDJ-aH&WY!oKrl6!gsLI*HRRH(}meATzGmS2LRu$jNwz~@KbCR8}T%Oqa|yis<6_V^a4 zkK{`Nq1xI4_A%S|L;(1ZALLkaWa>@l^%+liKN%sI3Vb!6u&c&l?QX@mcY$Y|1ghno z)pmxyHiJ-7n%~{ncJhmSjah}$AkcxCf8y^lG& zCzpQbSS4Sw$N$T&Nx{Q8{GwR#>zHb>BEARQF_5s(n6Db>u+so4d1sqx>CK*&*i;F}%ww*_!obP{2RS$au+IcaURkQV7w{Pmv ztXp2aPmx|mTY~8BB`r$~_BOB01+>vHB#H4m5E!xx&W?xZYfPFk?FN)NF9Ml@6pB04 zcgAc`?)gxtG}EE3`Vp{R&+O0r^z<#bC9Q>r$}pY-AU>Q8Jd`2ZiQE>8T~6tYX6TVF zH)YIuG>Ww8jKhnSD`CDrU(hmYBDqHOh791_wTQ>)j59-G;j<`$;1tS(`kM{vO#-hRc?AB*ta&6)a{E(Xw=(7`mTYx zn4LKCz17NJ9?C!{8Ect0#udZ72}05{Ew}^o{I0txS#3UMD5+7ggQ6>2k-ae8zsH^v z9C}`ua9Bf9BXkud$Y7M&T_?@g|9JYdvH8p+yXJI6WVEI4s2QDyn*KDvXIdAxX{Q(b za9Peru>GISjAG|Ma)?Pt&K@747~Vf8uTWLmJb}gPsx9FuE?As26^fnC_kLRFKgZV| zOT%d!^K$EWGb_Kk*vGI?_cTJ=b0J%2Nvp~YCqOrx50pBxBuhJQl{7yDbLq}>gObw7 zLB{wMf#&}?r4?c3R4eb`S=eBqYn#-(sos%hDE{QqH<~k3YC>JIu=lig3 z7;ei~T(Z6~S4bx>Zn)$VhJ7^u8MWI6qiqvJq6P|up8_bvv22>x;Yd(4caxpeqWlAs zg3Rc5x-z8ijR|iegWIO%>u!jj_Dmx@OGDYJg+)S?h`yj-7QWR6n7g%5Eq;?y=(pD7 zP}foZSc^Nb5nmNAeAvt{Re&`5Kvs+|v_xvo4wjGvxEhBr0yy$%N1t5}s$01=sAMAw zmuczWL`z64YWsjR_trBtorc~2D5lM>vF{$W+aGaz>_zDnQ3z8K3bNeBs&9fLS^$SXNszp{Uvs<9Jf>x)!=h4XkL^UO}aKAd@JDX)$ z2W3(muX3BulB|*@L(q6C7ZL42{xqC&Ick*^Hi|2#L64}xgI>9#kOHjX{GpaVtEUTD z?0QMkZ&o>lkqgk!j;|zS5P+maym_PO4=~GCQ!3qcrs`-#Yap>LM_e#7^gQ$BG+#hc z9I(W*L|of>w~M>J|4z_uwG%`)-am#~BaQYBwMz~`q zq~KdDi*;Jvlih~Bp--3IcWCEG4{GaE3i;pK3*LJ6>ZJeR=2W{$E$J&WL9~~&;(0Tk zN>OjSq3lfA#ijZB7i9?#1ih==4pf?xnd-4Dh9zIrnG|dVwd+;@doyC+WsH&6;_J3P#EBno zKMt7@$HYkMl)Qq9(ab7v+AQ)Fk0PN%f<(!Oq&u|>%X1YSyl>pR>T832%MQiA36GBE zklsq%etR~Hs4M9xtSExJ_`PEqg)FHy2 z6v?!K8wcJuzF6<->zokD9*E^4$y}9-QO@U9VKzR#@FmfS{Ve>RN8674pEa4sp8;j2C0$2P2FC}*r2>sNh#>uqBiR9y+p zT=ws!Q>p6b={Vt+X!3g=FQz-}Rp1LTl5-$gEV_@@qH`Q4*4C0+ck4=|Jl>ew6osX5a*Vf+nC@~{+ z`{+zy^|ia#tP)ME{K*uI#v0phQL&sc52_uZIYWz6S^J>clinmN;3tARdJdd6X>p%Q z^jWmC10&)$Ga^p}0O5%SE)r=!^SoR3xh?xa%;DLZtAL%%j$k^jY0H#y@1W72p^-WS z>C`F5SsjUd0M%RfFDyQ~lezN`I8lBPm~6}ZRuzvQeZdO$GHf{Vr#vdzq4^URd$-lB ziV9*UO=1@RT#qTl5-#ZTG+!yeU=;=Mj1_CmgpbM%*|uocXW|tko`;{H*q|CUts3gsqy+5l3IA-nws>l3a(75JE&-Rn{nEkG+HY9BjK9C9; za$Ubv&Pq3aukc}&3RD_oH*Nx)?G`*EgkQ(AMN$6fX$EoB0gymFfj(P}4qOF^z>{mo z_HAANAOi3aq`nuf&Zp#+jEatc&VPmvFu0=hhH zWnQeh1^V6GB`HA9FZMVL7xHCpmqqEv_B&HxYEjVMyry?*Uxhgt>bQ4X;mFpht7PQI-0S4c=EFMgRl(S+BK+_du5Gy9P8^;^i~ObXjxGXohN!Yy{*#a zK`?C{&#SZgH+xwLm^!&Tm+Fb5kmcnZqvGU}6&WH}gzdJC^2=qmvV2{6UFu_jee~?j ziaW-sG&5rnU-pk|e@*f@=)sC5lx=&VR~=EH`#wPIeYNccBd#u))pMN0=~zUH5gFevyARC954hD~2ZdwkI8)8yoLo zTpW^RWf^X#EMdjjT-|q0IfK`B1fcoZh4=d>qM)~$ykf;8#qXqhI|};TVTN?hZa(fn zzKJ0UV!^l2z5(~aNuFd|L~qgy6;uqZ13LhuG3V3wx1FB$EJr@u5rL)*p> zN9JcB;YYfb5@P@s>)yP%1!VYP02NS~(Zg1^#r61KS{lONyV-r^0X=m;3^_%14&w`x z|IPxJiL+vCLnOTy@ld8_lr6GNULh3<5r(r~9gdS{DGr{|@~U4@dAHW`-Q2ZVOQsG;`ul2ES?Y{_By!&5 zQ4sx-;FVh+zu!o_WSHM*G>v8bHpC74VN;*Dpa(sK@=>|w&yPS@$C_Q$(|nUljvwqH z3riPAvl6h``dnBIyUyar{}W37c=LFk_Tk}=k5exL5|FUg?+U=V_Xd3`Fh zwSs^<`)^k*w;W^yS*R#zkns*jaJ#g*-w~l2z`o-UyLx>u2lsm95zx?$5`j@1#S26C z2B8nqyu&zUmsTI7*hPgdf6?i8cR@H_=dMK226bB($KqGva43i45p1i!m#y@EewY}dPcM)dD5oCaP-E1JHu&l^jAI%E@J&-A7I#Y455q% zQS)cV&*@6SUZYDzMfFd`1{0UZoM^H&$#wieX+hnZ*h063K0U2q z?+#5lzr%LmVOU|w1_}}!>YZYAA%ES(SA;eEBScGoil#nM$uhxnROAwj`se+P_fy^I z4w>upLC+lLhp%{zrXdUn@u|^=IfSyEXraW*QVGqp3Wc~J+NM->Mub*y{gY^F(QShO zz%6be!SPt#zS}`87f2UHGT`UbgAQ-6YePmp4i&|$Bfx`TII{IODJ~4KK7+1)3U*4z zRRgM9!ypx57Xqj^XKkC<-F&nFNkF^NWOhDIf zgK{!vVnojK@^9vMJ@c%9nBV6@GsC`QqzP@v)o)ByKFa!6KLbIO$5%tSAj8s8MgJS6fauImG zGBh!_7+Gx{5__SJVeW zSn+CpxpulGcsu(V6&u+qjR1_r@qALu=2xmFm05IkSK#o_3`GS84hv}KZd(wd*EMdu znr)XPfp#GiIH_1z@phDH%aPVHfFI*8-0J!4AYv2SnT z=E+BRPD}z*?b}<2NP^Z$s0*w#a^wtEw}S2dJ9HB_*5BeU464&8=#Z%a<9WcY8(!(~ zprTy#^Lpzcvw>}t+0N%>W+wMERZzHO}O-{j0u3d*dWFlAkM+^Rm=Zw-Pj z-TT_Vw-C%U1qqj8)BTde`6Y6m{nqvTC{%8cyFhVzVEmzYT2wv{TiMS2`#VNNa=GTA zM(CPjGwLw+T+cH92tEQA&(Ac+;-G%zktL}lX3|Kxh|1~Xqhy4w_FPeIG670{AT>{702&AzK1=!?uG0R->xM_Rxpe=+>&h*~k_i(7*sXb$& z9iPg*pKF4qFM0a2+~EN7uJ&U}!8x|NN%KLKnDg#8SN_e&9|}nVNxMzPF)o99ze|7M zFKOWY;&vC;1aVnK@U#*ER>sWj^@T~$u&KrW6o;CK&}NHHvc)i9iPFFS%Jn`gDkZR@ zjR($*+W<~@Eix)5D6Z82{tl!^AX3o%!7Ydt?hFx-GFC2{Zw_7n`xehk-7-%%`}mFK z=L6SiXI7|Vh?S+M@|^mCtNJ>y{nFy06cI2siUzc+W^oJ>8DA{U<9(c5t6{&1cyTXT zqgxHsT)FL05fY%Mm6tH|O80&C>{;tmHI-#i%@&`WxfK@9ErPG-$yp}_W-oZUfFV=_ z()W(^xo~Hg?otG7(G@&hU%ByYA`S4AUCAQW_zkrTdizfhn-71pT9lrabWGp)F@qsy z;;t_69NM@5|M#IEy1|2EIdz1FayAR|(AMGJ7#~*SoAj$sJhW+gw!b0of6I4{k3|JS zR87h z|!9;+ocFEvx&ilhb+6Fy$u-z{J-#UhMW?NWj^ugG8M7ud^ejG?TvB++=ANmvCwM2^@E#8L(Xsp} zzp7D@yL5FFYV==Rt#=vnYQK^jb8d*64R79FzVZb+y!>I_MOS_??_)~We=+aIGsG|s zW(Sfih)FdmiD(6dR>yjJ_cDGugC(?qvC3G9DlUKSE8jttW$Uz!s}xOomAi&c`jgRb zoaype-jvF?2nS=)O7=n40uZr@8K7d+b(= z$aJO{4yH>WwL>*uDIK!sl%76$5{G>OjtIY}H{=w_%GpHad-;rwGK>Qy`v_39Hnu%R zX6Lv)=kW8H63LVk6O|*eRE_V&9+cSvHRHopQyWXgQ(pU^eg8)9-qv7eecJ8JR)?5j z!)TU2Xe1(C6|iEyS~cp-KOJVW+BfNv)O@3Hskki5_km)DuL4pFrS|rknPaPaMqfNz4$AFm z&d-Agymgp-ZzksM2+hMYINv|vDu4zq1Ot=H3R78=bDFK#$=2w0b0$fGpCQ{qrBh~` zfmR#!qr6jAhqevtZr^Lo_eq-Gte7kF-*6YMMA*VSDTWn3FQ4ySL|J5a%K?d0iiJWf_ILo2-l5|j*9#sBfvk7y2AGRlgN7QCc zZ0)=w`$B`{ch>TvBLT@A5HDzzd>vz}Pf+Ms^s+gbrZVg#!|`Wi{rob>K+Y2h@Jb!H zc^q&M%Dz38iw9Ud0`!1Cd}xqVEjX{LHiGPo7FoPw(tXg`?8y*|$@&nk;x0psXE1KY zT+Z9*Ny0Y!wUKRN;0i}g!a<>3>L_Y3Ym{qt!gK!H?!mXwjA=cARD?&tdB>)coID-V z1tAeWb6Rid_`&UNR)t)R4tn{hd8noibNrDML8jayI7ao#+IP~^40-$USV}JecdGYHP!c`D5hkyFafOM9joAd+05TYJ> z>hF8kVj!U0T=L0Glw_M(yV?|_x;3dUQ61Z#$P9k635V04s_VQs8)+KEG-2g=GHP~^eVHN?OnWz`R zj7I^hMwX?EW*}Y~OTE1CU9m?xcD+}EK>A^+~*cpmw?d370PiJprxPM_zd^~aYCP~=-R<(OL4#?@0*$@@vNT<1Zwoi zmMs4WfDhb)c;MqyE7g{H+Zw!BARy;fvC$2-vCL-tJV|NXzoKJn9>=^Eo^6Al-nTK82EU zj_$~PB8FuVj3*=D_38jIJ6#{M2iQ6e^?CmQl243Q5iO{OCl}bP|7()ReKQY|Jp9d7 zKw3}D75~!tj&a4yC(YWG4K)nafHz?+T={+yz;|ArH+d`}SdZFf$3&;>@cPDfA*H2H z4Fk|n?D67%3@^Zf7?D?BJrJi_g9ox3tc(z@(@rz(U#PCs_CT7FQ zxv!sE=TB+r5I5jnkmbCMixh2Ur46urdgcZ)G?W7GRv>cJP6ki^h0qbU!U9yRzyj&z z?E#(1SL@uD-}Q`lxpIQa#QPuqj&~9KK2GKwPnx}FT^$0Ih)>H2WfG6mg<{;OXPz!M zv|Ajo6oWMJvow8N_Y)dcL1QX_yxcr#Uz5-WXgm1`+rwoaxf;mSwhMAenoFfJL7K=( zZ3c~uo_I`Ik3GHnhRGo#0B+%+JePX zROb^&OKX8Cu<~BVFr$qR3_wB}#70u-Xz9P+?og+Q5;J-~^^HcJU%3q{Y+jNt-Cs$; z(0B-I>(!VpRI|4UV;8=9oT=d(%~avT=ev*tEnowY9y)_ieo1l+Vp1VTy{WGXq!iDqSqgGIb8L2U09$s_2nrJ~;NJvXVJ&%E4OykN zc;JKAvHRSDH|;K|OuCyuT+7sou0?}(ocA>lps*y7BB=O-!{~ZJT9XO7Q-?jQzk6A8*rQN2Gf~*#__Qz`MLLlqQeW0@(RK(vpWdx_ z@oNk$Le-fI#z(KR>`c>1R7^?i67{)4)&2zu0bphK8O}f4wCsHC_`-^Eb_>= z0WHN3iw`%Gj$<{1HoY&(Xs6jjBMyu_8BBSW3r258fMz@mJHKKnOQ%x`9D%N&lQIEW zpZS`F7f^_Uh}2a?^~wKU4S)t}`UB-(IJf>YnM$_MGpvccKjpBrXpna)oCLA|chIer zxQUdv?gdSF)+(!@=45skoHuWg4eSW8IAb}d`2KbFBwPK7+io1Tr$QAJs`KX;XnS&=O)8Q8)MNCFvFpeFY0s+9oD8jxf`9Z zKcR_36i^|ao;30M-S0qi9z%#($Ny$vAENI&Ri**@KldCsbK#bqV%+UY=RZnbg6jGM z54sXXL4mcVE*=09YPu8&S5ZUA?RKA@-2dXxxE3<~{s#qGu~Q0?$ts{Y%;6i5H?O*m zA@WU?kU>|!*_`8(P;+pKlHBt0RFFZoaU-YHKaxo<#mgVt*>ys_rxf*#RH9G$Z_=;W zR=||S)2x%DA6#Vw8t~R!b9-~ulIvJqy4fD^6aJs=~ zZg1VYceg=YvDn0OgEUlKa~b04xJOiO+kp0YR4?YK;eepIO_K-_J*f=TCL8B)_$9Am zCI?S{@go^v>y{08EXO{#sz9oApRyVM(Z{|G*(a^uYef@#fn$O~&EtUBJ00-Z>0z`C zeO-jW^y_IUSd?^2TW2jGi55~f!%5V8)C;=~HK~HY!{a>Q!`@bHd|mQKlvT;Rq3Y&Y z8re>7usWXSOJ3AC>xYcabhroi|;eO_#__Gs4dK6U^7{ zfMmT6z)%Hv4%4z8dt9gQ>arox4ZI>Qd7W%o$GH;#XZNT_hM>G9vxH?p)gM)pv$Drj zs4KQ`0Gx2K>`^?Ybr4nK=$=%buc;hgt7^cK-F`Rb0b`cQ*F@HZSVg}C5D8;rkSxz9(#R zVbXyv-Med=mr=6qnQ{XcvJX0m4R(uViVWUe> z?15Skr8Enyi|E1=))tMCm#r21ftH6f^7WQ&G!M+57eeV94)$0D+Zdcp%71=83h3Dlr%=9FpS$r}@J5uR zkRLVLI$`m*@Nj<2*#1$KY%e8B;KO8|bE$RAR+bl^JdjM_u?L&eCp^;%-o>p!T+I&i zfdH&Rm4NC1U~;oRZZlcVf~JKdUcN6Mxv{jiM8oG^Lpa?hdsXD1A=E)ty8q#>9-UEN zK6I$dq`mz{!+C4CeKW94Go1}@sSsNTn4P$D+Et@*`(7woI4$?91pc2L5mUCd879IZ zdS-_z%&X^uJ3Q+~wsJnW>XY_jj^HzqWM!ca01t9(xdeq9EZ%l5JnN2)2k6~p(jRft zA8_n`I_joCCDXQ%dXz8^UI5Xs=e&X1li|C!E!o=sk+M7H+~{*}q-1vE2m+z{LKk+N zGz#!lIziw=%v?MV&6Hm>66-K>zvIqouN;Xcj%Tq6<+(r$c9{Va|9);7r z;;C2LbKK}1i~FdYwqHBu1xQ@Z!JPrryUCteagwB*7;^Z2QoVw~eJlaA^dR&U?eSeL zV>1aXTkE3&NeGl}+hC$o1kRyqTY$3|)0T~IjF#}rYY8_JwF9K$ucZp;kxpKlSV%|H zyQa33bz^V=a17T@_{{IO=k~)3!!Z;Nl`7kmd@fr>PfsVVntlKN-Hi9@tzpV>fW1JP z6*D}dB(KBag_K8PZpTPv*C!#@r+yoMWZH*neb~{2a|g)|vTiVK-t8|6hcg1Eu4>=b z2)b4KZD&b1s*M_izB4}?cNUkuvlab8+(Z(M&_XCJtQj(~#LuK=>kQdl$hU;vA#tX1 ztCzL|AOepBgMRY_@3~KvCCL+`N>eqgPpqo^D;KStV!-&+kv#s-*-Q;~@hTxs7V>Ug z6GSVZx|*PhbS!=WFM^8aL1$G5B6jk!H0Z((YERPvu%4yK=WQ>hp|2k%fZ7ZP<#e_; z_(MAP%^SK&cXz*g?&YQNB8HpV ztE#B{g`N}ZJd0c2Oz-$?ko_S@qk%Fh_M}%angdJrwf;L3P??ov-uRFPHitVsD|1CR z7at=MxftTS=EkWhuC%e^$QIYye}k8XRr#6Gy{Sxq+%OOQL2d|mkmfl%2g^WFm{Y}a zVSvEi&*5v;~jPeZHIzPgVCp-kr z`c}1Dg1W8ERaJVP7TL3h9xap@x?F(^0B2nT)a%@ou>kIV+SA{0;Q<}(%7~6HZJaEk zH(Bxd^+@@o_#|DWx9A$fp9mohZD#@7BWnvC7&3RyFyR7lSNr9Ap-{kHU=8**pn5iH9pfuJtt5SCW^KvnPrvu=R$4G%b2FDLkzjT?7*vn^<*c-FCT)@)5IzioJB)Kdw?WQ%s8CLMu6h8HV}jc; zOQ({TeIglgEXKTF>4BO>o9plqOE7NO1+1XUeQ{Be+!i{J!=nEd71_o5b>dq`&umz> zV!O}O+^M+p%ZA4BcNLqI1N^}h-^B6x_&(H!Bw&9WPw;RoJ!dH(38LY2p1@TMf-n8; zisf-9LOyTSvE}(JeSHA0@90(lymwM-tWlc&?^A*hupdTN*tGCGj4W-YloVFOW~|6K znXqk7QdTQqGE)Ol6x<33X|O+8V{6Z;wvdt zODj>;KY=r;+3E@-uQ&+2kMJ7gl8B-Ro6(w|Bny#l0u$B_>*L!U(p1(yeFp{QqJnv; z?tBtLuj*c|)^k#nZ1=wdR3NORj%+3MB@1H+a?dVri=avx|h_;$>&!G!167jnBFOwkZeIy=!${OC#cpygomYrO)A% zP%uhQXk+Gt5~;&;dQC%@rSV>S4hzY8HlPYg6g9&!<-Re34Z) z;p3T6VDs2;oS`e-30QB#uK(&ml>0h~7r9myjN>0@X2d?|16^Z9M(R&u7KWt z;K^I@NGQ{2DN;PAin<#YOs0J6R3=$8P`HX*cq_c#u-zvpmyWTbl5Esc0xW}P$48OB zUE@W!tfT8=-VBHkJiCo@MF+?LnYfM0_pk??^+04Uh^$P&j9p%?^Y$rx=t}^|O~y3W z0e=_;z$BWc%b|xjM~DnI#N7t>;a9|!x(QQ#r z5XhQ!fR7t2`G~yUkfsU-`YR)5(zW3`Oeaf2 z-(Kh-=2SX$2z1c-voo;-Py-B6-PhD^%-1l5n|pd!@PDv41E=IYeod+hm- zx*%HAcJ2+`cVmaBZl-}qqck1%K+>NB7X73`1AhknMfbKc*(-&y9^vi;}zTZK#^ zN8xS7#Tk(M-oLjALk91!ZgPtOA~!w!J;i80#IubTMX1vYN&t&jCz{_ax6)6 z0NNC{wn6RJ8WTLe)sCs^+<8R@s6Uus+SNbdNN6!zGCf-L6_H|w5Kt)8mMzq-fH>-&=T zmo}k_krLlC?q@HaJ=;V6R`3(hRhPWgPbx0e?_FDFod%CMPFHYh`7=LV{}unEL?` z@3c;w`RJ4HwQ|YRpYANR*7|u*ZIk2YgbOe*?1ee;y}@^7@9pO{mVaN{CQkWKFhC|M zJ7%4AEQoG2^itmr+<)=cuh}tac-cCC>y$$!fJYdYA$v^;Gq?Hsbv6)c$?Tv%!ZB~~ z;0OneavBsm?mHh2C{FG7!MFNPlrFBH&|m_RPMfBH)s>}Z!27@G>pQ8#ee}qYwDj~V zm6iIZ|8jI=qQ2%Q0qe-hmFutPqozROUyTm~#{VwlU&HH+OrH*F#v(IP(*K9ifP+dU zQ}FfQ59AjL|3lomY0J9NMEeNP?$mnHa_kg4mRd4?YXL~F3AZo<39d79WcpwKnTh%q zoKNAIb&vlVBTR1!M1r9)*r4Bo{p%N$!y%gUH_*Ddeh-A*@R#+J$hy~oTl*u|wX}1t zyZ-(*#lnDL^9yQ`qEqN@OgkVZz#NY}_4hDV9)zHdV^91(X&D)zBF8Qk?}mI-VFr-3 z*y8)YJ{Z$^6j`(x1^C~&bLaZz&6{siQO(ZI=2C6Cefzd6xF{g`GK89MP@v)IX@aEK zurogGK6>l#7dS+}<`YFsOx6rL}Y@i*CIp8(ta=QN#Q7Q!+Bt zXV@n6jE#le+}vD8ns#pE;koHP9WvI@5g!+Ky(H|)l`BEZPRQ?Our&pY@P3x24wbL2 zcxCY>`mQ{?41A)VzP>u>Um{^DuUuPw_3c5>*3?PX=1z0(6IgD6RvAzM#^| zk5tIqa8P|T{(wCj`TUd22_|!x$1l%@(9q9)>k{+!_HK0sbJn~U%lBeq*?snPadL9r zdjDSAMZO7h_oAvgXN>nbOUSZ}^5$M@1ZeEk?||6x4M;&n5t8x2@~;OY)IgxYQgqbz zC@ZJI@#800mq&h5Ej|?oZoT52%t@T7>Al%!ksYkJ>|~(w5)tga2Bx0}e}hr>~s!DGWO7uLTwff|;Mh@!NnZ4yV{%a<<=b(1*0bai#5aE!CEveGF? z^~}6x<=oK>wT+TWW93?j)m;fzLwrQ{r3O!?sh>GXNtIJ^RN6=9pkvXATyAb|ZJCn_ z>u<3U8D(G7*~H3ei+KbA%`=awl-cgi&hxW7I`wHFNWEp(20?;lW>!{?sbIZzHGTY& z)wy#=IJa*n@j+!uN=i^2rIxK#d6!J$YjDwE6l%Qq;+>u3zI|sXIqzaK zRElp|RQQ;8`>uLB9@m&!c>j%bL%}>n@uHa$kOGbeAN7z~iT@}tmEU;s#EHAJQ9%(A z%<8OsqZh9hZs+8*HI+Z75nnU{_wmthQhTtvehB^sTKe&14Xx2J+jWd46E!lByhay$-qvbJE~Ld_shOel zK)xnDJ-(&}6v+-ZI<5ZNVM2iQ4e#HpCZyQX!64;LGanUfbF=R4RVLLXH)w7*<`Nyu z$g7?H_Wb&K8VYDEjd{5<;oiN-J9pR{=QYh;U0u`4%PnTQa(3QMCd^%eG~9LY4O>x( z&VJHW<)@5+FV>`MNWU2ZvfB|=1S(3@M+YEumNa>+2M~ickfpl<0fUX(_;sE08B^_M zm<;s~^n1PTjuMpywZV_?j}r_Kd4BC0t(^B_e$<^i zC7!#mSJo|b3gp2ke7k<~vxd5@GuR*^Z#?iK!@iguR$R9u^xl92LMAt#GecP(7XT~$ z4S3y&L`zuSd|H&fjtHZ+35J2V*z##!{q9Yo@-d!E1I!ChRBHo8Lgg|k>i`Hp}?vyt=+qKr%b4vn8D@$8Yy!D`k4_L=#X&-DoJ;` z3H#(e<(NG}8+wB@JjI-X+a3@-(c4Bh21ApKM`gKVohkZ&re@YcDM+F^P?hWwCoR z>wwp&DDO0BV&eoakB=XP7k32N+)*1lpEor*=`3X)Qj*g#`#n1qOCq1#KvoWMz+^Gk zRSV547qimR0*RFYCL=jC$m7SX*qQHPD{Ic)WEH)y=hyue4>Tk2*nD1G(Ei-H0qDQZ z#Qn-qe2bgL&zhP*>H5{HLJ8sB-4#zHAF_`Sq(;x8zwh0G-N4dBt! z;)1jNdz6%VN_1Q3Y9TQT3VoZIDJr&kD>rumj+|S{iID@g@g$B6&xi+O?GoBhd7JKS zE@QHPuAyFG>WpcT1g@El^Cw^h$mj)*P0O{1)7t&vuy5-@`&^DC@Wh{$nih_+5;nAD zdT0oAYMiBDw&bS4|LsGWu6+OQY9Hlip*bqkvG}gcVqoNDFTlr0A7TguEWKK6Go~|# zcFw6-fcw^`_xZdz++{Hg@v15kmji%hhGM{5(a}O6BwD4rcV+9`&ejQ(up#8g(C<{9 zy}Nsnrna_;z>#`uUb__E3oM*!Ss!X^Lp~fDzI2M$8waugC44N&CXY#i(~pOv)hF+{ z1%5236C_O8la+y#L-WMYMdM@@+qP5hdU-{lL+H(IvsQ^7jXau~T3RPqsbfR!mc00V znA+0KKBhtV{6~N^A^TZj~~tMk=|7d0gl^qLaV+iM&GE*zBq#ebX=7!;%3mw z_1k_hYD<*+N}^~Yd<8~mviSW!yz~MczF%Q)17YEed;$>FCLtM1eYgOP@xY}s`#Uwr zFYIyf)fsT2q@=WiQlxSPm9b=P&fb`gWBebayNMXR9Nd>$>Sgn#8t5joa{rMy>E5(9 zLmDXI7!o|tV#%OjWoMgYUg$gKR2V9K*TQz`aXXDWqc_n}$JvWt zP5OhRhR_r2EH%AV{TG0F8qeJ?QgZh4f4v%RM{1Y23-Z><;>kH8W@gGWnIjVV`Ove~ z*Dv%Xcv>ZPN;dHY(b410m#+Uz9*=35={Kp6V{C6`^;sMdLP1Jam>IT+|D)5mqzb_^ z>+xOc=6ZD|vB^Es^hRRP3Fl{6%n-?rQ)`Xpd#Dp5{ekY=U)});U98ZlV6LM>r1OZs z*9R-*@WMjbd&f30kzM;pAp^p7WNuPy@US!n+as$kzhmkA;#IDTOseG4jYjtN_WV2O zI(C?z&9hgx4L7*-iKHN!kiGVPGmjHsGJR99SL|%waO=~Fl0%$viryxUJ>|Qhi^!4m zrPi8Y`Eylyp|BZ^xim?Tu2N?}Y%0wgf=%xIJ`|I7fvKOKrKQB;x7J&^#5MPsNXoAl zD0jGO{9)!Nu?mG!uXSyZ2;IU2MFam81v*RL{CD6>yt~;i;Ee22>8+(70Yai(Z#Gr85Z*L6;*$6c~ zbih_iOaPEz^nZ6jdF=4mSfuyTTpe)hPYmK-kPhWW3TxP=YxY^+*g_3)0Z>gz2LO*t z2{ZdU)ul$dpvijT>k)behBx)~*I(@RFN(GJ2+{p#Jp+S*#j5*K*qFq`HPWg4M?YO# zSO68tYy$~720_{nhQ5By#^dp|EiF+21pyUr00_UIon8NdTu(+h4n2EeL#LS@+y83sTEn4S+qRHwB88L)OHm}s zkZi{;lqf6vZ69f*K@CEo385n8ZG~cHL{u~O!q`hfVr;S(T4kG3jZK*4yPnqjt>y8( z@A3Wpe!TtY=wO<;=eh6u+ML&UotN0HxVWQ1L8Tk{`Ad64`q0TQ`(9W(nX0X=ot9Hu ztN-NLvjnKuHO|cBhASqxynGg-hQSzW#o`NPpQW%^qU&T){8kN|G^9K`re=PC<0?6FC~;1|pD}cNfvoG*4{?w)fLjwPPQ~EP z>tDU1HEt>*c{CQ@;R?Ro2!qJ>L5LtA-y*;IoI(;0;^@P}THK;yh;1*HPvr9?jNq$s z1-kvLtnQgvSlr~=_-8)k!=o&^g2&^##>{Yzt-re3;q=_Z%p zoSGTiW(yp-zwX?NcQ!)Gi8C?-XJs+mmu@9(5rg?z&Pdm8+oiSFXaOQ4@jxTGHYGj1 zPOQ>rt6Z_%_)8Y}n-6gJx-Jt41w}#QG=arp&?3g+_c=Uop3Dppj^Np6rYtyJ;7dV9 z%ZfL=EN+6D`;{Gawhm*;3*etKii+O84e&$<_YGUYEN-|L@Qum2G=9}qW)ssPSVEpe zBKgFsE02Vis$00H6BE?(&!j_4T~t&ASmb7;&spMBqSb0E1A$~Ma^TgKY9qOjMqnhA zxWjHf27J`Fot?Hqe94E`H?Oby@qFmY&Q=pxcj96G`ucim{4WC!xkhw4Dkr%k%}TXL zkdfR-lIwO`TNbo~;;8mTO?v)zYcn{&TZrKVnRXM7l!g-|eb=o*>~-hb2X44l?XKor zPp7>bB@-ib&YX64uls5keWy=Zh%GsYo9W3-XU@$v!Cjaol(Zg{#xNYjT2i$YK(gn_ zGL-%=0ucu3$8x)OwH0-p*KvwNLy0;T@Y!&y0*`xs<`L@3%C51AjQcuAF97T28?<-A zl?>`yAdpdq5-X4F=N`z66Z?gKQQh&SW2>2_bJ5iB%!};zMmS{^6`950nPK9rZ4*eE zG>ngD&HBXvg|$9;gT4zQ!1WW`mQeut`jm0$TnUtcyo!bFg=0fzbZ7x>2v@L7LP&ui z-qC6m*VJ^hG?Ybu8vtdF^8+O(KLeryrRI2GpW1!V5Dl;7<>hr8JQTYIHj4Pkmhfn9 zX*p_a90d`~VO!0GXXioeH<>_Oy9+(YRiDxX7%4nFyldvic_)NCgxIwA@86%_JzRo% zhD0jT+4A%vAs6+4AaPPhKGgeV^4g!f--C?D`rd=^RZJ#0X@KXJ!u}H!y3jmm09>EJ z!_I#?AdZ$=f!o>w`Org$JX!SP`|}pZTYVgLMJ*LR!xvGY7`UOj_U8@qkOora4{bmv zuQ0fP09!F;4b!-gr*Tk4Md+1vjh(8lt_z4HD}vXqIlL!sRgoI`9#RNA_#(kAAi!Ef zL&N>txu%h=HI9IkV9$AZok7IlC0xNMBPr53l|zSY)Vv4wbaZqe0;zT&@HXpgZ-1@= ziX@-=LOr=r2^v<4j=Z&syQ?dfPN$1!OMH5ZurnHKP=Q6AZvE}sHQ3HIXZaE#-5%;m{0O%(Mh-CGV@K^)Pt8gcdM^- z`1CPMxu!||#Sqjb#Kgp8Re8kehz{hHC9P%;?$8~rtj-*l%35kC`M2rx8uRolkx9mMZE5?VI?&==^j@)B5^Wt~ z#%u)3?rXar>6fDZ-Yw_6cGGsj@QPuE;vI%7gNYWl#|^=gdu6Vsrgp>JHS1<&KeWyGXlT?fN-6vPIY~2prY5)`Ok{^Z8X<0^2zu&G=b6YI3Ph`h;y2jL(5tK_+9)P@beAKEPhQVuN~mj5BdlL{GO)&GNP|Te_y5WP5RZ@-OH~B{mh@-O zUXS$EIOBnpiKr=e3$!B;pk@#P0p|S!Aix0H3I+|3b0X3Xr_Y={dzKc%WL^mGEf*FR zHo@a*Ac|I}di@kUpb3PI40E&`r*!$zzDp0%t>{Pj1Onlpy80d!6_pF;MIKsx|Mt!O zO|t6UgoNKG^)gUspq|a`@Oo4fLnK2G!%0iq9;o$1fS=j88P=Wvg)VpURwr~OVF~v- zUc+lnSWoILg&6QCEluB?q@$RTk>z69l+yg{~Y>*>O z6&;jI!M?29>ntHoJFlitg7p?2V$W(l>bg8q|I0n zqEEHoB};LWzQfh)keMzR3}%;8GFc~1lu@`GUI`SZddcl8e*JLn%kcd3ZfZ;gbyX6AzudTPJwr6K|v2~LIc6nI3z z+BF`BbNym%YRW?)Zt!n+5JJeirM@Hjmb5afFc2J5! zx{v(Ju5H&N8@!j3m8G8k15vkxXx6@_dSRhNSVY9mVDya%NU83J2%#NnCam+M^43BT znuTnj^7Mm~e0TZ|usYSEu3t|tDY0E#T%@}BE;)?(#Ud>#k~?+~EL)F|~&^EyJVF@;|7*H1@S?$WLb=z{ADQ6Za`7IFzvEJbjv9dll+=3K)j;_c>qbfoUFyH;VRu@OQ#n^xyWM zy2itAwiMjc)|VINF{-TGPY+p_1PBMD$H?5gCZ($7yPbA|Q1rkTcGV9o@3epB`-dzW z?>yvcTb_g!2KO6q5aO<<{_5SWwbvJo;lS`Iu|N|%0(Rz=mk)^TCQB1Tzf*?>rq(Ar zvpJUsB05MOkzAu`2ihSW@wQ^9HSf7<66N+>W!l)wSn09B*a?PD*1rK3HS~t7R#-k> z35pCyEyMLgxnrYIVaQOF6sYO9v5X>wRN7R-g~upt5he%ifxIDB0i!H^XVBKitqr^PZWv%QMVkm^H&|H@ zyL25Zs({E;6(J0zJzbGr=~XdQB?4dNV$e9_-~BJMz7)OmfZAAn?nCX>j%NKsLO&ez z^z`iYIdZ%$xKl1yFMsYb2{)XQ^ekh~{;fmD<6;mU&6Wc|X57t6s^Nb1M@1T=|1Mm^%E{Fxnh?RykF=9&!a|_l#}J zZS{2ZHojoLf}2f!_z<$ysFh8O)mngv{@C#8S@3(T01jY@U(YlKSTRIpDx@THXOG;W zTYl;z`W#N(IuAl|nxiTeC0#M%;d9Ig$O%ma;z9$3*w}d6O%3%wXNjfAmdRw>zGuOoEgq1@;nOXeY;cDXx|Tvi}Qm86Z7<4WO|l{EIogy;V=KhVEeXh z@!8qg>3uUx^)SXuG^B7B->M_P?S3Cw#Oz-+xqksarVWw%zkIj&kmzytY{sKUFM@^7 z2#SW#jsEo7yP~6B9a!zBvBF?-Z(D5oS*sI?hUt>mioCk%V%zqFpIUgOC3&RFcfY zse}33-SXN`w_nsk22teaAGDcIX=0G`@=hY1y3j;PfA$LNhFTw1a6P-E7?40rY;0@< zz3%_!29b5aD2Fe>)C#8z73Ys1KOV$jK6uP~B6Hb+&GOH`xT)A-gK#8Kv^jm6()spn zBZNTifq^}j*5h$F6VIWvX(ekHCgITiQ;}S)I!jcf!P?T!ZsK3PQx|AO<+wtnzIcg* zr_>;Aj9}#MK^tE;{%9XMhGkcXSEcBUn}kDg(bUs(LjQD*Q<*FT4+r>YqW$#LUh63O z?bz6u=b1M{ALEl={y{4b`A7J~?d(iE)wyC(NrwMZKZGKUb#;c#m7XLsU9+;3l$5T3 zwmH!WmBH+Pf|ERgz~7)gKZs8!zDq?Kl#X4#eA&#v`tJwu^Ir>LMCwLDCBdbTiw}&CvkP(61)oZ{ zYrVCNagtvnh!P?YiCf~EPfC^7n3NXswpc(2|J)d z?PBB8JXTg#T|#`#Tc*+msAGQV#O-;46B9grsXxp}=Hz2OJ~_CdPo#-fbDtEbX!RZm z-z=n~K1ixi3I+V)x9gyt+XS1 z7=R!J(IkQodDWVP&|g5mu-o8MN)CuvTBZh#Zud%WfHL&p`wWTI*B#0q%Iri~_5)AW zk>r;WM3A{c##d^_vU-mqcovXf3a6n%u(#yGqk*b0m%mtJ{_i$~hzJXp(0#+vVa#D0=l)kpgQ@i_|a&_yS2`;{G+Tj?}O*{6g0{QfIYqL;T2p{>Oiw)nD<% f|JPGOTv^v9@K`+Wy~ZDG@W<51+%Q|;_1b>}9&D@d diff --git a/.pic/Basic Verilog structures/controllers/fig_09.drawio.png b/.pic/Basic Verilog structures/controllers/fig_09.drawio.png index 8ebe3e689c5000c7817f47c7c5300b0d31bf2617..8bac8d0a60a9f0dd5604b691bb0f509cb34867ba 100644 GIT binary patch literal 179580 zcmeEP2|QHo7e*@57b;2$ElLZS83rv#LSLIUB}JBr$ugF)Z*AHn?YkuP=_^txDW!e+ zS}bi6(r${VY(?sS?#zA1okfde`KO;>KXc98x%Yj~dCqg5bKdu^GM{YvSEpW`L_|dX z8gFJiO+=(!wTOsVxkLvza%Sg5nuzFjP3E}SOjo}JbQhY4DrGF^H&wEx6T^$CN-~G<#?q1)52qm*%~ znq%Pe*bikaK0ffm&DIrvud1=8>KKx$F-cXI%>G{!J7UE7->4AjubcbnG7obb)c(p^)vOfb$6P?aG<%snH>B$ z7f&K=1$7gt;KX51XSoNU43IG<-9B2Fh~7|~#QG8k|^!fX@&jNatxH2^f>B(@Wjb*qnJmH8N!wo)f1W4h64ylqUn(TjY+}4Hei20WbZ2@)~a5yF%rfv*= z*p=?!fPIsZuM?d~o9=E4ZbUKm1v`afo(yj{2R4>qU%PJ`7F>$bi{1_GL6=<;WQ7Mvpz9-=4y{i8Q4#Txm>Ce>g2VBMBcu+-t~MxWW0> z86g?BG$*3{u^p7GX^We^BlpbMHUEfdz zZniGt>W&ho0mI+A_e^9kV3yb>v5>}O`s2W0>&;}q`v?X@^P@9odN{Ox4}o#NMQ+ig2#h-kVb*;;A@tce*fMR~ zCSPFY!4~S9eBSU&CE=h=n0y`lP>Tt_xP1~P-`2swvu)ZNKJ63|PH70!t_7#VVs(p| zb{uaAthy;#mjE#x^0Ohpdg;3jUSa2-Q%m;_%O3_{!hQ2`Rjw%)R{o7tV&; zFaf|h(VOOGYv)311M-Fgxi+Hr1R&SN$x@2}ay*@HCLrel@rI?(vY&}vO=Wt@Bjnmq!^!SQm**>kpU-11Y}SpQ(Z7!+=1=`zdB;Sx`5)1 z9|aU5`~e*$9D*~UqjsL?1n3C=r?CMgSZAHOjwz(V^ml@U%bAYfE2wLubRFluWL-Qy z5ZxX(3?d`Y##sWQ$W1Eep!AbxPWeWf=}EVBbF8<_K^SIF1`||Zc0p1Po0TRRc3oU0 z;SRfCMvY<`aoCZMHqWpNil>~hA{aPG{CuK6&q$-%5;o3)$OSbvy#An}356Y~PGAQt zv3h|9S&w_*XNnM<3lspu#=tm&hiD7)5d7G0DKZGA&19+uU*=4v;x>hh<#%MxyvaJ5 zO4h(C=9n*{Z*6e$+=NvDlAu70CDm|q6re#+SHtI$VCW4f(c)DwRGqa4>&A*@4Xw{M z6;_df*|296VU?B;W(cE&O*#>)5K1FMXxb2g8|Jq{#7|(=9|I%MA48mLEhLShNo;%! zp`a2bZe9Xk*(v~}S=D9?3F>P6oN9gjiHHy(ncxWwjNW3A7nSNU8M_|yCzk4)MOXNC z`4=Ng=tr3BOW~J&q1lb_4`*n|@>_)&3QDD%sUrj~=(l3G&|!^4Eg=KpSKoyR4=4f= z!wZFvXEbei!9yS+yl^yWP@M!uya7}Jh=(5gAIuTr3;%!JTmsN9gjqoQsvxt#gFAA~ zCY^eef)S=(V8&azk7;WPrkgF!$52Dqw+31;aD5})wDn~2<)&T$>P$jQ8gJCYLMqk` zrw(xeE`}$@LRFIUx-J>TA}+>ZP&95pwHDdd|6h8l&6_B43s1;}8=qHly9W`kM6IIe zD;pfpIi%vZclzPLhhM%nYHR&|C520R;Fp%$iHD&&mNI_Rye{;}(T*Bkb;2)r_@ukJu2&fU3G(LRs zb0dMTY%qK^p{|A+xC!RwNwamZX=BMmjOP9>yy;TF5>WMyC_oFr8B(*d)l zOdF6UwOU0L*OT$ULD9mek1(UCsf(EMHmjnFso~#^*KMIgV8w>67Oc8qj~qVRWDw}s zuYY6kz}9GGe~8W^Xbxx@6#;RmxOm8eIL#VE zen8D62mp96AY=u+-4-aF=gs6B*3$RAt{skT3J_zC({Yw=p?vQDFV_de|4{=s z3U(;6{YLmhiCEoNA~-}}+A82rP-F)9gVp}DHvB_%9WHm~{8A5t7QjCW%1j9O*WwzV zDL6|cgg6XQrZ>&PVI*T(5+u!f#7+U$SEr+5XAx}lsRtg3^+XDuAT$O%Hc<->iMO6e z!Aufk%&iG?(6AFI7bjf7eGu)ig$r}I@gV#sX(Rk6_`9%fW^@cqS(8?lP+4kH{`2eLVe{wC-*O9Es4;~I<7^Wo#!mstRDasaZHh){fiW^RB(TAPyPB8>Is_qz zUF$~mZ@kNkSpUY(SPys*kpcy&|2w20^V=orKR|B#8;}A8Jsc^>I*r8`k@qzzQjm3V z;QPPvWWgc^$3q(C$$~yvkH7u@`4;je6cSOv<2U*iU&jfz#)7`G!BMyg>!%dlp@{a@ z8Wn(g?3^dx$5}vQ*nSh``+O=Rm4xect;)g#ODwH0-$#9;IJ{6>Mb6o{)r~(mxFEkn zv#(1(YB-$RETXl1 z(QK7VI?;Y)ge)9OU4c;HOF9?8KLK0+lX{SNHF9kv0TSdplCpb*t(gzw3dG?{Tf)vjjx)mR)4#PdZU#Bu+w$ zbE`H*6Mv$>&uqsojGXf)om$+ETNLh^An6qR(pC{;aaEIm1iaXeLl=SN{lVCd7zq(p zS{$|uQ4s~uyp9upuTxi0%R;@okc+~8^Td_7S`=OUbv;xZczFX>;^H{j80?!can0Wk zL5Alr@vaP@A~Mm0xW)gKtpc?gSFf$7B=Y}faQsUrb#YGg`^-&X!5sfrwhD6-RAwPw zVvAqkxmNtx+JNx*hpc?z+gwT;t-T_Ga!Xq~iJ}C79|_F(Ppo_SQ;~*>dYPLJX#~Eq zH6V>PE~OC6jlIUC4QlEs*VMDfgb!+{Xs-nV)U>!IcBng+F!yax!&jygmi_aQ8Y&7a zO$asoU)d_4Mo`to9>3OxG{1&4LKgEP4#6Y;;<^hm(k5Npg8C&0NW&xgIH47Jf2Qig z*C45do;gei9xbjKi?%-^OzE!#52W#+(yAqt+8X-A(neUHjrvFb4>R&_ug})yYh!GD z9h<_}IZ4swic$!hT17My)UjcGHWtv@wx6MAiqpV` zX0$QbZ4)iGK`mii+r^`AYXS{46@-+jO#tE3+lViP6GoB_Ub5ku0uB)b7;A9?2vxAF zr3rWQ`8gOvkKsaqWLcMre`C$LP<#?BRPkbBJv56$IyhK`o@3SQ_=Q>KZ+(m@x>Eu? zv^`dWhzESX*9Q+c93Xf=k%I^iEv@KF#V@EeupAs7*ephi7bEoGiP8;VF^z!|cw5sS zfD(dDyluJ@xP1LT_22{&un|rOSs2j*RVQ?n&4Uy?+X8BQ@1e&+nlHIY5DA{PrH8-* zXBe<`QZu0ko=p4!=pjV3HbSQz<3e|IgZDET*s>}llDPUgdfK`>Y0zBYQ68Sy z*K4>i9O?EpjPh43r1jAC#*h(+>c%mn3OoTe-n737CO4w>(Pl z5wrk_=p)Vg$Pcu68DWZBs=)CrTEZqcn96}u5{Ncz%2KZ=`)$p)9>65(8whHM+(QS4 z?ZyDsA4W_JDSoTIf$o%`ZwSBwq601F`J{X`6J4}%KfxDKr!mnNk;0C`MUzH-omM0^ ze%pVz=|a=iOmy&0MIN8T>nKg?lfU+eW2AiHghH2sO9#j(TLYayQ$YX?__eKRv_8E< zIH};Tndp-72t$Ce7FRPtb)Hs0DKN$`8_$8+nDwLd0Y1lH(`^Jb6m^F;JAVCsP9dm< zw$)7P!v;8BA3WgcHHs8yRS*##T3XFS2XDY^MexwFY9?sw^*;b51Xa(rN6CT9*Z)%w zPVm)CbWw;V;6w|onV@uh&3}J8e?)gmfCd3jXnC3xE>GaTs3&Z>SWD~UMQb`*fiDVdRm9t~ z)=ZidT6E0glenKYsZai*CdC^^^fdX(qnz7o*(EMC6$H>|+cTjdY!c7lxHyKJGm%Z= z=Fws}1=>xhHQ-pw5~Xx;0ioFe?Dvxj{7wn@&?ZX#e6oo;2@pI8*-{1VE6{S_0oNm2 z5j?akQ3^dp><>T*p$o{{9`OD1KmnV8vU?a2P@qR}5_kkWl^*xZmID=nq9l9r+hi$W zvZQc9lB|d4xoGNz#fTP1AqATrwBr&JIf z)F)?&6~Q&Ay1#2Rvz`!T5;bPG9u)*0tHcwrC}gUJCPi0^O48>1-wGRb(995$9t_pm zqS=o}Gi?%|S)iS=36R#}iYzDsH&4b>w?!Bmc`z7)Qc7L7F7^WB2Hd`0c9#xtLA|u z@8WDj=RhUFNE+5~p~?OS^M}?Oy3iId;U)hPLsza}p&F>btk*#`=BmQL zoUqQkY0w!X3`EgcOH1g+nRr4+9B|Y_iB{n>>C!MgLG4jsv|;(Zt(#-Lag#9Ao(v{h zhY#t069qV-2g-%O%On)vh)L!=&# zAWh1jf2jpZo623#jc0gTm`S&C`Hwp}gv7tCoK5V&L@iPUB5K~GOr{BdpiK&77leL~{-iGOZoKJDKH~a-1@P~35A+leyrW8f+_CKoy)bP2@w1?Fx%%NN!_aPddMNPW zG+B#`>p|b&%ZzhQ;{TYNedqiXv?!sG&aZ=Z-NCQ_cb{Ji$pk-l{p|fCITQIKlh%aW zO>&)rkZ9V2FLGip0bp8O4M7)evVu&PL_swL0= zXBKBxzL|3)Qq2=QQABOA!BZe0>7YkNAluaj)c75>gL*@*4&RoTTG$ovy2USVj{I9W zgAN%_M7TqUzy808i6mSwAV74R_)27Y+~0*~Ryt?}8EzrkLY5V^xE4$jYA9}=@W5Mx zLBXO9#D#ZaBe1u`5+{yM^P2@1)Nsig2XGy|N#g)!guiveWfHnq0uHpbVZx{j`w@-= zK+;ig!?A#ZcCsN*MU;dRsUk|Wnx)r-s|pZiUX_eDt`hN~ML(Qa2kp*;0yTkCP#up~sR2;hPBqC7JTj_e;U|?-&FmBI$C(FN1#IHZVf=Ner&ujpCwv9il)f? znzV6~L=tS3OJV1@HpfsFb84n%a(dIU7x6mCFA^Vs+>qK6u-(U+q90exlbfDyr7 zVdNGBoBMN+Lzh1|poEKn8>kx7f^Y+eJ3=t{72K##xchFg{D*lAZ(@eq;Qt>kp=iQ| z2pr!LL^M7s>7uo{=qpi+2KvfYfl3%%#3D1no?U1T*zPF;X59d20*a)^{zqUo|9^`M zsVI@cEkW2~AuVAH2p39`!89!c;x4SOqpeL?{$Lj>ey+oqErMZkmgUc?TMW#cu{wx@ z3Ec&LWA9P$!wAZO4i2#=A?nc>2--AxpB_B;kW3=$fYL(J)S}@14G6Sgs}x-d_m32` zEj2R9#)r*%TMW=wwhq|D-I>7Ln$UTW987QoOb_oRV<>72j`K<==0 zbq8Z`!8QgEpa!%SEqv<1Lp1nml-MM}k0v44Kr$yW*FeY6^fhsW7VPyF9QhxYK85ER z+^AZQhyQSxWHBW3^3;y)bj|31x&vI`A91jS@mEwJRg7|9!GEv)T>E!j=Cl|WS>n8 zVfbb9gc1yRiNxv0A?%02s4*A>VQ7()6NeTZYa-myq41jkl48-!Ha_wIyMZo(`q>Tt z6|@fOE~xxt6(0D(%Q?6rLa@+32x)W#VvUdjJ<_d-?tlb1WQ|RXGq|uxn6y@!kNq&j z@yZg-(Q)%j37J2S_hg4)&tt~Q;6@ve}s1h>G3^TTT93mjV(_&j z+PIE_QbqpnY!v`U5o~_O0FKcZ=@?M1KVa`kSi6m@O~???1H}nGLcx<`9!iDVEV9w2 zrBsOIH4mjiIyMf0-v&TTlE$!;q@f9|B0Lf{MVpEa)FW`QWifvIY=o!AlaP4W7`bQ@ zC7F8K8hp=zpkjw~2q_@P?~zHi4hR#}vps3H4mRu!GTVSm2vrl#(}1$`s!&u^E+haM ztTpVb)e+*eo69bu`jPB_m5WZU6f>u4g{RpUaj{+wQH)5g0;cnI~F-a(reTx^j z>;$BT0Tk~dDKnQOoooTSu;g!&UzzAM%Xd?$09aikrMW)?-ipO zB`o8tiW9of))zQI{#)B+{RsO)X91q( z>Ss!0xY9sr`p!mM_-;*Op(pOU?{xKJzm2R(cfg6Lmf&K-rYK|zv|!ZrO0$(XE0t7)T!y_&-a9x45a=&ce0!wbGsCI_F6t$@!8rooAZpvNA zP_>VsA+QxYPnw5-aMOV1j6Y*piViC0AyYuDiv+UL;vVTsLbc!~CMyvB*%btwBv=9* z*CjD^6on^o5keWhM^HG{iy=CW_qaJTygk_uQ-hZzv>_A^7&gn+T0asZe=*z%YO?|k z?&W0bjtv*~Fu9iSv?3i{UKLzR2M<&Pzd}`0q9CA+hXaJfO{;=y;he4&_!S}>SmFRH zfO!%JJx%U`IdNc|mkq9E9BV&)+zJPU92d~Cj#)h;)jAiH|aS-!Qk_HLAtV3)bpcg{? ze*~maD$WDa=s}~60n!9BK;OkfK=G9gO`|GOk#XY2s*SezL{`{f@_|%20r5iz@f+kH zt+J&YO&tNa$V1vz%5i3$`@islEmfDd)lyp%heLvEpjKd_Yc%&dIYiY6b1rn-{Wh_y zo(DGb>z-Nw>HI6a$++0SGx2zGfk3HgLnCSoCQQ3vXauonI=)8>!;|U6aAdgIx{Rwk zYQ)>RR`;HX3q%oQP)9C@2j$-T0WI!TA2(W_D7mnatrEsY$CXaDnU~!UA z3#f~e-{-Mj5dN63BRRtt&VldD!ahdWo28y;$`d$|blDhzvuPrtfN+F%KtsmIxxWT% zhxv_&$XkQZf_7-OTcEG}L6FamAjuohwnjAJ;=Z#h9G3%fs6msEEnDDw;~AILUy999nHm@;YDZC z8Eyc+b_^zy;R>?^3cCXvjxl_9u=R4HVdZG-g}`>Sgs6c|JI00Xh@Fm!MH}o~Y_;Ci z&(YJ?-ARMyLbHcSdU(c5VE?FiL!4&RM2~`E6O%yP z@CcJk_ri8yw6S$?@NA6P{4?s^R3vku*+)&?gxN=~|fdpI*@0}JVnj_eubq-neh!W&{b0!<4%2EsApAE{RI z2{#1iM8X%31vHIjiFiR{XGa1OC6<<8286y4e~HYy!5Lsvc4whR2139RfUGvJfFU8Z za<4#E`O6}~_uPQb?OKHwpb*yP1!T>(*Z`3Jvzk<$Qy@QU9d<9cAxIng3)L)IIr`(; z$#)n_TWo;Gob#{ZK%Lh-v4OLxsXLE0L-p`xV8V(E-HoP>c<&f^3-+uwtZ@ulR`9pL zZ=ALje0NkVMG$%}><{W*>{<_YCKA~lW>UzBz>m56ta47q`vrh!aIw6{e);O*wzywP zI6GfXC8$^l2$A(lQJyrocRZWL5+Qd6-HqvmbUxPch+c$U)5DaYodF6cZr}sC105wJva}bq_5;U0|*Z2b$_1 z-3Eple~?at1TVrYlh8iL#A$BcJ}@1CGCmy)tE>I)-hXK8oedt&_F4Gd67zQMR-SdO zyYMF=TlQ&?NZjSkoKI4zdYJb&_Ucw%B@k;Z<_y-iE05TF8ldJh&2j*$7Pc{3-9KDR z#En)*Q-|lTc-0M&?8YZ7RBdQ>)%(`v=%FWGql;)2OdmahlbF!9xfLGM|2Vgz>cTE_ zgn!NKR@mjVQn$k4iXfl1buANAbFM_f{e`db5$B{jXjd*A_o?s%3;v=K;x9z5(!5>E zXqSK9*~ge?Thn?yMo`c6^DSAYV$YKnFaWgdtpypt57ZRVQXsz{*}1E(QyOEUoVbJv z@D1sd7E-_`w9jMt>`!$|bEf2b32FoRCJqI<6y8-z00kOCmN8SfSu4>TC}a(27-1s^ zqGwH8*GCUcXazj$n=2Vn_QFYL*iAU!&Srjn_a+@&a^Uc3pk^?SB$UMRC?E|qupdrn zuweCjA4!1jl@K{NR!guO1ROtt8=MdSX2(S9$WV+X3j=B7emq`@!(=nLWV7y+g<&kV z$qvzxFckqqJM_0YN#R>Q$<`(?MS*iFuHsO4s_$<*AXv&V%5LLlTcHv!WT?8xU$ z(d%!U|MAX!Une?~HXYWoW4l!O!tNnp4t25sMj7!d#dZ$C`2l|MjWH+q8TH^fi}*|< zx-Vq65MfXM!?X9_$@SSh#*=}q85yUli7oG_`$0>9v29l-ffC32_!0JB9q6{OD+_G- z!u~M+0Z>X{z_BB<4$E&95m6BtZ#-s(pLKDRSq{}vC2?vfWXgS_)GNO3l6i)7Ksb|7)5&tIVwKQc#)C8 zxTXIc7V=FZVfuxFS0^I5Z>30B$m$Lo=k*oss>1)%DL9?~5cVcBSs zyI1dOCwMyp*7XzXUOl+iM4vFh+YwhQ*Xt;7lj3G7P$3r%6Qn0FJMg}5O^}LAOz4a2 zvX;jjRs~h&I4K{XMW4w+eEX;QUu(IIn8*7m07 z792W!`tM{$fyUcMC#L&vQMVd6%O?0l@x!B+Wc%BHdUl4dEa)! zXUo#=&xZl_Uww=rI9P5H;u_`WKE2wxYjnYqUOx7JmemE}u0 zbLC~9(E-OdZQ1f_2x%>CC)L&A<&Dj!9Lrwa-k2UWQYs{pRGgg{twq0MOm)jsi%`@_ z*4k$h(aV#S*|%btP1>`73wQXxd7Zl0;!d?^1FLSNcszHwvv2%|i&DeYL?xa&o$wC5 zcVO!3pt#9VAJ&4U>vk(&Dy?sBG%q|*;$ypxU9P{ny|cZPh*S@a83p|-O7g81r0@Fo z!w9+R>no1iUFkG4^G>^h&m5zdrvBEAQJY zYGu%im`rI$su4?Z^n01Ajf18n%RTSu=vQIz=|wl1%2j2l_GbesriF``eGIv}M&V6h zlya&_d`OqLtu6x2amQ3;Mhrv#%}0fBQJLyKBYlP?sm))p!^mLA_=g9k_W##1>7Nhh zci#Q{scip*tr~iz2D^*;h$dK_w4jxfMEq(iD9?R@U7nW~oIBT9S%1`yF2`o_yM>wL z;@J|x#`&dp<02nD%1Eerzr%9Qx#`A5!_r}YB=9WIe%%Dd^YQ8 zuTi_QR%EBmJY@Ut_n zbU6R)j$YxeZhh2}Ct|MDMPgUzmrsiWKipo>lQG3(e!nCx;0)MgxW~v~*ueg#Yd6XE zH(7Rn?!ZT+=+tb-;-mRWl1l!m37`F}%~*;Vp9{lJM-J@~9b9Rt`mXeJk%sCEMJ=a2 z%SNZIQ>lJ`!Znw=nQ{N%%pnCwY%lGzOj@wL=Ww450Db=AYc4EIh|lotld$pe9Q8HR zStI*i8-*MGx*)Nm-Kt;B6IpOsH{+cBwYB7P|71!p=(o@#(K-8~(yZqRb59+c8*5S; z(l;jZ;#KKWSIesXD&JpIzPXKLzsYI%;KA$N@=uT7JY;5X?{ZdFEZH%=_e`bmc50zl zNPKUERHQ%T?yE;Fi~c{Q|6vAG0Fd3UDE%E-+Q~) zkI$xd?lWS>s`8}t5jUsX$4!a0unPr*AqQ5vyv~eS8W%8oB^CjesLYqIHj%Yv9Z>Wc z*>{m^)Cg~vNwKB|E2r8=p}Xu1;1z7#<%$c)L;f#E0+`2P))5b=IWL>)233qW7S@EDA)hzAH`lD(d8uf9w zXyG~T`SS@<=sy02pgZ-BWA17ZvC<2>Dk3zcDn_KBa72o~S>7NNlUF3O67II(B(>~4E9BP-rHT+$V1Tz(&Z&tFFkyetjaycsim_nIY;WMzDlU6ex+a^lA7*O6f$f@ zl*xJyBp9keTpxSkmPxUMif4H{=}~)@oy=xcyS?t$%RpXUUVYAh+dH(qtj@4L*Ho2m zy|hC5CWMdGhllqveyIv+Gpd9QVx(bTGroqMWu8#MV=YFM;U z!B$&4RWz_>FaoQ3Cztn(a4k;H1I@ffk~s!v|*I$Pl0)4PDNH$D=lE6>IQx7z)DgXE#fyGIQM&zbhvHE)m1f{PI{ z;JzE5UyGF5Tf1WOtBmNxM-E;8oqcpKIMz}%GnFLq)pFI3>=_-+8D%>9ahVy#O#5r& z+4PNU0_U`ErxaK6Y=_#ejiI#_+5JgHC8?$Imph)fe0bze`Rltgrvp^ZTzuRjesTrrDlDp!ib2%i38Tn6jQfK-lXmPVA+G<;=TT5w{^xkNB)J6wTPs# zDSXR_%Iyq=$9exu+POT<)n@6n%G#>Ofm0UT-XZsBwo{DqoTbZ`tCh#@NvDoKzgxs^ zDMDSD8w5#rFRmv>G$&&oODpLE0bws!V)^^$zp_Qh{>JzuPxzgSdE`R$wP3R&?~$2qK>Jv0INcKEvt zngrH*S8GSH6drcO$HIX2JRE;tbn%VNLvN-9*N7)hz6&sVUqi%ehYn*mvm`Hvu02Fq zyz=Aw=QQ9`hi}Mj)Qiqs(R0N*pB*a4hM#8jQ!VLxB>V8ZL)8xPV*?+;Fl-t;V?~z5 zS+zYqhQ;ru$1qCzho#-kTA<(cqmC%>@D3<$FE)b9k&=B@c3#3ywmPI&JKH&_K5xxq zpG?_Fo#cJtOvINB6Evn;<$8M_^H%mg?wV_{Ww^~@>%#P?L#ua2iazmmTNaWwLUX~9 zyD7Pb!^uvh=u(BSv}npUZ`x)cNEW8MYX{<-vk<;1D#>ngwB%*mqA$T!`GZFK6u&#= zSb1M>)oDig#U3BtUFx4kyD6_%dZuiXqs{Q1PGjC)i7nmNC7^ulPO{5N@f$#_3-@s; zw;CiU=VbcoORrh9b$(EYTYlsOO?|)r6tx#_D!cCNVa-~j-=PDkgG}D!==ItC=54*7 zk?&Vtc0VSAr98^dTz%N=sH~F#9juOaTclKb{_*5^-LY_m6s?EFKo!`~;y1uBrc}0f zxZD(#o7qL%PnPuGrnEP^XkS=h-x~ot(>$gch6irCA}cbipUMb>XMJ`5y6QM|I>kNaboQr;Y@5i- zJ5o#2tnzL1kJ?|$eB}FVzx3^GJ+&^+4#=ay zMsGN$WwdCq0e)HC2O7rMY?Jj8Hxs$=t^`QN>+D3EMG2b{18}7Kbej>e6#WsOO-?S~8GGT~a$Hjl-|ZFhGp? zpN{!-6nCGjbTJTop^Ima=$EhKqAjGPR!Yl^Gs@LHyzb?){))4#{b^fdkCYC0vRx!B z&5=3G3}+nM`$8tXJ!UP#)ZoiU`%`dx_oxBJ(bD9nf9v+{@$fi(<6Ms`a$~4hPGdKq zA_HTycs^}E3IIdk^}oH+FGelaQIu5fUKTv_fO*V;!UMUJ`jn>C9R6_R+}AHPo;kFw zawRKQ^afYjV8u8)bKsQS!}^S1zB6wZ&L$A$Feb6e?dG6N&|{EcjB=Iiha7#0+V%ZS ztLGjHz28^ti_Mo0JqoVq8|(Z01$=w%^3Dp+qA$d+!eWRK%#kwLoob5TmL!;J^!YJE zuR5rgGtSleoO+v_`(h^}?PzUvbx~rw;I+xOUA0^v&A1w=JUq!UqtaE;Ai2o5^zpn7 z(n=H8+uV9#Yl($j81Tp|nwb(fJgn4)0iP`$`SmJ2MmjNM_Jz{CO1t;ZuKc}C8d%xi zfB!wo^tmasmSlhR`op94_b-Z`EK2Po`3eA4VztQSfEkkE@oe(PzR%Zi$M^ch2gh+qC_E%bCUkd7QeP;<$E5X!bnce?N3SQz+4g0)c0l@%bA= z&jo$*q-P(F8fKL|@lmpCQO<^-3U{4Fj`7@?U4>~J2c8aj{BWN{aQ^f>y|2Yd8J+Y~ zjE+CQ#8}$luYDE?bKXeNzkaEG1-8X)Gx9S~NfG};|Dy3{^0K8wdSh~0F9;Iwx605#o zv|lpF@3PFjNcJQ>`&%XYiN=5jZ>wMI>m3#{*HQc}ihiLGYie#%Do?1+WL;Al_SXOm zBzuT=l5`uiH~-po;SKl_Z#np3;+L{+Y_^IA*i=hDD*`YkTYUo3Lfr z)RD8c?Nwcrq5}8W>9WM2CAt6l`RqGB^M9xh5sUDZ!yeZeu>gq-8_>3fSOCmjXKuz6mpkEC_&#L4Y_ z`^ws|PUS3!du#M!IMC!S%KF8QCxa8D?9M^TxMbEqZe%%zp((XL_TQ6Yx4ja#I1~oP>{j#C^+CMC=$fO-s=YXFE7KnC zUhRPC!+~KT%iiT^Np@G+QxI)!IM4h3%wxedK5}ciN?sURn|7#ARbp(2#KhEPi?&@! zb}hd7a;uF75V=jkHI?HbC-A)8rKhGL_|dcy-|Nm3eL7c`5DrbHD78IX^c*D$01c2K$dazUFH(b$sqgA9Zc7 zF)W7RqPQf@t1z8*_{QbZ_{(2`M$DKhaX09{ZKYv7tkulkRW<0$Etw>l^nmaY%hZ!Mj-5Tm`0G>Y zP;(<21|{R9x3blpzL%8)i%Bz;&pe%+Tw%5Ou2F&7TJNaYh6jMAZ%?T4`E+FFV~v;H zs!y-E{~-8yduqUhwELuXWfvvij5W|MNRbMD819j6s+BkSon4szGCgA*U4<~c;v)eT zPB%jjStPu;ysFzleGcENOw1*fT+XbR#!8J6y)}gm!t3O)II8eEv|=O1!_!L!u3i)p zYB#bHU|}CzaNe2P%G?i2l|LIwhOV3@(NF!r3E#()Syrykbvor5+2yiov-buAv3fHx zb+XBOm+sXc&(7077nXMP>%(VmbAvRK_xty(nQ6wVVr7ke^B|$9Xzs;Rq0cp>Y=&k` zwKq)*^#WUAF9t_dr z!7$sA91NhV0CFy$%FOE6DY(axZJQyw%pVmiEh|ClBr1L(v3=T?oME%2B!j(X$&6Q0 z3Q2W1Y50y68+kD4*+Xwlzjsf_R}z3}fBGz6ZBctv0bZu^E_5O z%91YgB5o>w$wpsR8^kWw121V z_cS`^U-n9m)9d3RjKNn4;6}4y$-056|LQ+6l}0S zq=-Y3uXh66j9*iJu6le-^ifeq-)`g1tCin&OuxMs5{PS5r?cvLJ?Yk$Gz+>#OK&*b zF{^gH%vkI zx9ZkTohkFwSp#DtKi!b?GO=M?&@sukIq4R)dRyYg5FbotJp@enRsQM7QNWqs7oU@_ z@>@&orM+<9-m;?EBAu@mF0jxX&Y59(_Nd=szJe2!B;?N%%Ik?RO*G=zfgoPMC$r&c8t0MDHX12B0$fQnDvA&soa)-G+ zdXQE2^uKZD7gf3?mpxyoU$(ZR@pWaA-Lk|z8g?@U}lm!Q3yY1h<|5U7kMaJicr@v;ID!2E% zyC_lST*9p(BhD4L9?{1Gs98U_sR3)}$Wo`XdWc4j8?Q27Y^6n)DQ`h2ZRfV?{zz4k z$nwMUQfHWtFxWUfCX$-Ue7&JtalK-J%H%isr&oGppLk@{w!7>qKd{DDQ6eG zPN;_5X6vW2!lA>{^jAbW>Xs}ndR6`@*dU!XXs1R3p2mx^gKcj-*aE;E9q`1272EFW zftpy8h^{L`qRNw;6VF=IE(GqE=8_+&s@rQE&Y}lAS!t+Zr0h6x>oCiHAhK@n-~ZzE zWl38?Oeb}@FNZmrCH;(1@l0|NIf zO_9zaz3u5R@pNXvDX+NV+>=u(OACf~?9$uZ*!e;HU`%6vbi#Q8ltb(dw-03J6d{}w zz6|2##}Zqi4rC)W{$&2gS34Q|fWjRM`t-qbT-oR(=fZ=B4sUpydvfa`FP!F_e6@dI zXxFeW%l}ez$T>z^=+=7#(`=Q$dI?E$PPx789*E;_+~~LV?{qsoSo`_aweY3;!VPCE z8F|p>u6982U-%4Ojy7Bvme!{_9<)~XkhdqYUtH*@JR@u8^Icf@x4*hZc5a{hF=IQb zKG_M{M)nH`O9$I8z7pPJ!p4D<_U+qe49L*E(CrQtOr>+zm4CaN-goP;V%t1iMObVm zDL$ke>2u!hPcMeu`FHxDiloYv=YgNoK)lu~P0gpg2y?88@_oD>GN4lvyW3I51(e_H z`sumyS2Y+iwvwbY*Xy=6nRcT)mMQg%T4oVZRa#*4>elwyW!0edj>^#iarcCIW`dQ@ z_EG-F;znRXvr2C87eo_ViOG6i0iNQC$I-2znh&Tmjl~Lz|}@r zm7HLmtA2Bc1_IJC-%@MUcNkI0$|!#jjA=uVL9iL;$%5|HwD(Ug9h~~2D6Zno{pm~Q z3<{pK@%@lN1|ch|qwaaV+U|Jcd8C0ximL6Kd;h*Uv5g5C)9c)miBG&Mi*u$W%sF9q z&>qX3>?n1|f9q*p;FLOz}Px%sY?dyU%%FgU2 zF_AUaX>2BF96HPNyQXJ2XGKO=>=jA3%pE;e->*F4W%2Ss(V0`K)@>>6<7bx|()Et& z*17$pN0+Z#qcr-_EH973ax9tuY z-D477f%8@o$wgR2XY;vt7p2G;o7mU)PT%7yDQm3l{oX4Fmrvb%GBe3;SxC6Nx?SyJ z@@o1j>7yeQMvtzpITEr$t$K?7r+tM9)nN}ufBjtcrua)Ps44sb@!Ys zgrT0l-z@L6jo)rkN8FnnRvA74it`o(m_#v_LI&%(@4KsP-D>&b_x430@`>3=BV!{M z1z9D#tYW60`*36En@dH&2gV+ag|zL>t+$t?4SN3_=&}rq*FaRg92AKmDX#6_8^1gG zdW)6Y`qy{k3e&PrU<&-3(lD#YFa0A&ZJ;<@Skm4TaA9tr1Kmgy*1gk_{koLdDHkYV zWR$5))w_}@+cd}2mb&Dnc%%<}dnGQjFqMmc=T~F18A11mER{8s&blirIj`fLyH*!v z$0*MQJwCm5ceJ1)p z_P%p5FCx=)-4uxh!_?mF^OeQ`UR{3M=+D)TFQlzlv6G6I7u`R!^}N1B$mg?HClC01 zc9~j~o)oeyr{K=-%5r^&wva zOV17hxY`PJWx1J=xfeekQHl$^nEy!mCnAvwO@w*`^()l0%z(5ra6}YL=R97`Dj}*F-;z_sgTK$A-3ta z#^6EJa=??+%xG=rE#<{Il;mO8Kyd;hv^pVpjH3LYn8>6QP{LNaNR3|GS*4SKzUGR} z$4#@|<~%!6eD`h7lYg(s@=2@glw&u_x6kqfmrJ2ySLX-yoFefK7{?U<$`d+|Z#?fZ zVV6t3X`kCWbu!d@_Y9n$*LVJ?BxT528FzIwIyiYq*O*51QiVdfMb@XIY8U4ReaV>c z0O^0@2g21|>!|87H1BMnZ*j_#cKH~IdbdCRA6-dI$vTh}2a3TO2VEH*vBif$<1%tC zNb%M^ZZcYHhS}V}17wD5r{Hs|1|HIn&14j$ot=?rJ=%9*!I`(#2ENa;I{sz9$6(%| zU4EsigbhoNn+Ur74c6Iyv1By&7#Ix;fN-}wWmr88cfP=3v!c7IJ3@m#DVSUaZ96~76!e|89i2SSCT%HwXW-u z9jc?N!%X^p$%rtrIoD%NxZRw**g<}b^GOkX##9HL^*H>hD8b_}t7`V#(bZ!jdWD6U zJ6Likx`^cEaG*#A*7Nphn}~UIt=7qNUpg^rgm!t^ryU*BUst`&o%Q8&p}`93`1oaM zm1;Yp_1=FOo8lyzaCVbV)}@5KYm46gXOVH-?^w8nwZx8j`ejv5FR7g8V(cG)$zn^TX$`8VW)H}A;5xFk!yQ?2HL zg(-f)7EJ*c#r;z+cF?RFL9%^eCn}XKgIiddPQBuJ2>Z4^)YMD_x{)Tr4 zyHFrQOR`f2tPB))PnhxVhSGuKR8wN1`E61BI>e<_hC>dtX3{!c$zYTG(oNwm1qWvi z9kVYmD$iKfcS!lvlk@HcKc^UC$olkPmsv_R=qJ2Fn`To!&4{VkhdNyX$MJM)K-~nq?~(UB$K5w z(zm2@kBjH#9I`o=HloKG*D_mG9U}vl*|RI8Obk?TA2vfmpSqlKZ*)NUyQ3x+lCmWp!z(f7V&dhp6f=e!vg{kvh~r3|@V{XS5UEQq`}jM1bgy1?2WUEI zIjbjbC##y9U>qOv*BiU^yu|R1J%Q7IhPo$(ySB`D|TNof*_t zYnhRZQ;#hrgKJZD_oM)&-9IH-pBzx`WOG|VKPY9`oa1KDh4GJ_m?q|BhSMZ7heqF9 zADfSliHs7Q;@#|Pd-taVlxy$08liaeIQ{ND>K7354`$nSO=cskYxXtm5NH$_`p<|h zSX5bj9kOgweQ_K3^{O{)=&C?=SUE`I`PGPxSg0%e z3Q@9hMn@_3wd6ss<26@fCuu~4B4L0&Umai`Uc5{{`Nmf0v3o?_{nQ1xYy8|(FzxJXf?t^OKa@#|<(lV+_inP)w)`3XK@hpt`RDcXL28uRAv5;*AorotO z5%u(zSEky=?3o&Cvi6ZZI|nfngPR|)rmHKv8UnZFgx%IngYpLn%f56}4%%aURK7~) z9i+iB60R%D!lZf}EL|T^Rg$peRo_I#n8Y4k56(&~nay(6RiwI@I~MhQ0%fXw=?}5$ z4D@;IKUQ3D&L$_-t8ns|+SxKrP)*8X-klUvMq7%FzKj-p`yR=p5QGr=@cKn$%ps+R zlEJZq($*FBQ6@jV|MkVjDKm0q9?Vu_0Y#f5Zg{bZvf!3^!KK(QS9;h;BtK7m&$9CF zY!_0H7OdwHISP_zU(t4NPwnV(3yQYu3^AMUt+fLcaQk8fTm`>-xApX5rER;sKVx@g zwdbq!Xak$mpy!l*>A&=`Y$oI)Gfe_ZZ_m<|n`5|g$6vXa*0Fd1Rvx{mmSuup@X9SP zU&lX>WsHu_%8w?9U++E3ImS)C#-d-ekwHoS$u;1Bcd+IX*Zw!Ya4O^L7JUWxt99&E)GJCN zn7Ic!D&I`3efRW|msJ^%ul*s^K}j8fvCf_%+6y$gb?(?*D;w@WA*(N3y0ob0t{q3Z zR=@J9s$MfX`EmcgnY;5XLPo`9M&6aUD-Sn#9L8o!jYLu??i}W$U`C!P3_REY$dG*1 zY08|3&pVw3nQlu_Y*y+NsQwK$w1=dx>twjtr|rzjFr@{4RK(%y`x>sD-fi;9l577h zc*N;6e#d~ryZ*vYZYUN8_TQu5relTBTC8a9vjRToh1IZhUKDHME|CIYTH4FHAQw5qr5|3enhNhcb}jM&`!KO5 z+psUZAxvU_v0hlnjSidgL1Vh!8%FQeUwR{v=^chkPc_+64Db9;$zTq9Zg~2w8#Ew> z)smQ-K?oQITFJ5E;vL$~`^JUKC#x*vC|7?Qs8wlMKC}wZi@MfqoC-Iqpy&sz;xAn+e6Ldz0}|)!T8haSu8)q( zdgYiZ`Cs;)H_TAXO@fut-R%a5)0elOzrMbIn2|wxf8*RduwCD=L)-fgE}yQsvRDjI zd?AcvoR8D=98&FeG1GA$u0)v83UmIQA!}INEodq$ZsBZ7fda;4U}=Ds>^ z)*nu%wRYidebrj&9O!nY0pu(>a1WCSN8gwI$2t43dPPxo#>17zQo+dR{h&v3&aGL{ z3_im9&8FPljL+Mxs_tsF+i-vDzR-Wn$d+kAr_QIgCo#_G{~aDOOzeEw{bW}wtR|14sO;1QNth)+iCARG8b14oAy%E9 z^ROs7;>2`Tp#AM>SGt)!b6ou_@OG~|W{bS^B4TgoPlf79mjSP(bD(XSc555yn8$My ztgEx@J1kI)w1XR%DJ2%(WB3**$eN8Gze;Q2JvIB-TO|Nr12!*;$}|l^JxJI&arda4 z>3xP7V`R>@>-9n95k5Ufe+F1taIaRg<0{ve5(8dR+OJq6-bwb!Tn*!u85^;FfK{;; z%WhXoTY}E}GJRy}rcQE0HhmiLd=2GBZ5RLIkm%CwTTg4GePs8r&iHrQxPK-`9kdP~ z4=ML%=<(`g4fI@{7{U!DA4=9@rBS$x3zdE*2AQ_=Uv`l+@2w|(#pUQAxS^W9dlxIg z!~`zd@}i%|xiWbxbL9Y5Uj?Y^CT?3Ht*ikG*)+#mg(DfWj>oS7joDP})2M1t1vg;5 zaC5AV+JzP8B$?L6_b*mVEVREDtlV+=Ov|o&be~-|lY)sqzGgs;E!IbJ^wYarl#J^- z1ws97f-5#-xy{S8ye~@LA7?JW+Fo5AYWhE0HAqZhb76F-byR+6&D)dr54UH>@Rf?9 zvF5`cK(1pac`OJ}$TUpiz5g@`ca1@`eNJ(T;D2tw)aQ%4uT{z|kDIu?>ukjXDNvzZ zsA!P>6>IlcXNZW%6n*&kE@gV(^QU%gS?^%52GCefx!<67H-;u&2L;msMADdtOWr2V zJI!1V&9l0jDj%{{99>n-&@VxHA)iz37AJoiViB(f6j|-Gk_8rhceS&`>YZUz)2)>U zmnZ4GI$A_ZzjZ0x8?>iocDag-GcoB&C3&YPn<{Tb5TI=JzFJ+aPO^8PBT;vok)oN3 zg?KWQFN?Ahhd&y4<{ZGB1#@)#BDltlBRfJLLw{I|Fm0s5(upSvLQ;=AW%av0P%Lq$ zk%9YV7ZowM4p~FTjb8_90x8dd?Bz`5Bj*@9e^8kAkKZ_u%w%Pn=T;Pr4LV@b@m|l% z`aTv z`?I>84PWV{cl_Ur%Z*@KmHW9+XYMuxE#}?{U+9VaOD+R+hPcD;p&7>Nz}xB*>N+!G z+qraBG7YF~Cw}W77Ccp8z)VFisvmoEQzLca5vQIO7dJ=SgnXjSzWwQOm%?MEW|p>{ zG1@p^=GelE;KuvMuIRGfHRjrSQRAoA^6%NI_Hmj}u-NsC(oi!+cUM~&l6$g}2Hn;g zKCI|lKykgq7Gdo;6vRwPbam{S&Ox;eg&-lHgBs``bd4&orF_6Koq6ZB@9*j+lmxZQqWCex-(>pG_h?Kq+xyOk&sL%J0@fOy}Q~S9pGMiw(4&%_4bKV_Z57pJV~~{+WCU> z?ElhZ8ILbQF>FK*P%`VJ<9-I9r+@-9&%fyHo2)820_>BI@g|2Yb9YZMsMYfAzvS_% zMJqlA!%_|CpGbAQ9-c35GSd5HIctS>*~2avkN60jy&&|Tko!(pEBjhU@LdTB=*DEP zc1h4HXVqv=i(etWq`zTgh`WoWm#7#)dwDc$*WFU61bqIK5!%HyK7QF3sP*rI{*+U$ z6>~IueE8xF^tk=4mun1wo+_TqbIv+9|AH7Sv{=c6&J4+*Ac;&=j<8a@@17;jOSRbU+NIJl#3V-fMtA%Em|@BEf(yztPjyp^&eW0e{=DXjh(s-P@ocf| ze#Cj3Q9;GJBhb<|8HA9`^+{tUoewuHm=fUeo>iKY6gTnY2mexq}dmaWA%R%60IM6@4*)%YvA=C*N#^2FFI)vEa&S> z7PC%g)tvG+&~Pm8d(q=cbe9c(#RKA_L$Kbg_aNedP0Tl~x zQmQR7G%uuh8yq{Spomr57M};l?zlXewK~G8;;4TQ_HvtIhh>E2HVQ^DHsvx$#kxxD z0vh*ZWzM2LqHLjNc4TPE%K3>K^YhD1wpb|D234@G*Mu$k3{`<-_hlmC9U(DH&llTM zG=|eCyZZ6w`4wx7qC?xU`Fa$9=<|_>Ur|2kMkY>=IZ)HCLud0@%7v+WBe7eba??zu z%DPY?c=GZC5^F%ac_Mn%V58a{qQ94g8T&Xbzr3$+hv(t{qwBrnv2MdYV5>5U%dYHX zB~dD}E;A{V>^(ze%ibbHT}q;?j3TnK_bR(kiLA@sd%KM5J$~wbp67i(pZA~s=)U7O z&fj?+$9Ekq(w)bV0&*D`dn|EbXa4kap zF%O$R4Gok|F~CdmV_bAvo^^^0-Lk)z)X%%0!5JzRZmO7Ud|dKx?+r~VvqBr%cc4<5yS;$Y{BnhwC282|IuX=aTQ;@SMR&MJaPwjy5~CkB9yQEAV7Ya6;}9{6naMh`?~w>7hJbq zXPA`R{rJ}q*gb82A7gY5PG$#9Zo4W20%Ns*5aSy|3QpDRQ*SJeK?hq(RZ&$oP1Foa1V0{@n%Fd7#08Q z{jdQB8HJlfSxv%L-(PtxmMI!}uY8rZ@i^F-(tNcK2fO|`jSSQcrcbZ_{k+ez@Q-~s zRg$3_t=3H#WQ7{nZQfb32cI$KKMDZxD=8mbGpPH0u2{h%`wV4)Zn4c9c5Z_Iu}Dfg z!X1Qm^U zhLJDH;lOWoyd`nggyX-Cix6owXW~vF7c&#r{bzwX*w?kmXoFdQ9LQ2o#w}?%%We>@b)`eDH6s8*&DNDD0PWu*`m?lion(!t`twX5i|JDl z`hhyhrLh|D^}AVG0?`Oc*18DVvzt&?b_YrWS33S7&hF+wY^TY;r*Q)jRHa3{au(-X z=UY$cV3>>$hrcPs-0|O(IW%Za^^W>356iS^fj`b@AINEW$&h!AvV`(t41|%ZWUhv+ z6-1HivJHU3@nWj5BY)Z_n*VkB0kE^;J`f|fjk9!d z^^#9c8q62$akg;`u{O##Qvk2)R7cDO!}9S;{PpCaL#T+2SjZ0FL$4d%^m-rptP47% z=5(f=OaJaE@aL!uEDP4L;dFZBwfcVN`AshX_hG%$l;R>#ZyF&LVt@6x-}bj5&xIE~ zR_ou;OmjjpO|O02C-bHKj~q=0WQQP?`ro^eCX$84t|a~cUh{1m1{5v@y(Kg|yz?5< zacyzt#DJ90{D*U|!mh<*-%hTp4FAm7`uVbl6Y@7!pJemt`ez)A1`#kx))(xyvsTXM z&aQ7bJA1JBcNio|5ut7>{y8l3<&}q_E&puMLbC%>Z=#|!sEIFugHXEPWa1Cf$W%L~ zccypV#(w&42L)b%_@f6~pg!Tgl;f#5g0*nfGP-USPFgu0e6-u#&@( z{6$6Yv<-4xk44Fadp(n(@G?j)ahhm#dK59V<1LH-n4Wk}ClUG2m_eR^_P8qu2H~OP(Ln64AHAVVkAju_ z-VdcBnFe>=F_3oKF$6FQiPHJghwzoO1etY!h1H;@wjo!4iG2(Ju^-Pv`x=#%Tdn6h z-#d~a*SLxjyuqosO8kk_y?s1Z+93F#iOmm@?WmMt0KFR&z&! ziQLjravlZqsAFSh${_S`v|(B;w(hET^mQHrGppzYrt}EMgf>SrdHt6NjOAf|J^b(f zM5D?Myk9U-Y$H+JPbQnxm<5a~b;{kAEp*b#(ub+bb-;pAwXiemRKRK5DLC)yYmGTAhqLDXY4C1H!GVyDwo0j-1%CN zT|w9@1Ww!`DDe8bZdW(~4{4-o+Kx=@NX0BPgA(aA03*Gw5v)*9dYl&cv39b5WM$&A z>GRze?HdE`W6uIP&!Hxvp(i1%?;huN=)TJ*mVvlpa*zNj&2TSuOh`Bdx zWUIwK^<813zH*Q)%trf-k+HR)j*{Z;v^aG0|C|ab`F}6uKD){PZ*46#n#PV$b%I9$ z>S=$uy_MYg{gsfHKY^!JyyFw^?U4|~Y_ijLnMl{jZO~=*Xv*2peebFHaB6TdyzReY zoPONYZ@88a@ywYJ5{MHoOTMgr!I#NGNQbMgzNe$Bj8qR6?AAyBE)iGKX{u;aTriZ; z9cSgmUhYIlNzsW@?=;ILCnc28-Mj6#KWEH1SjkpTSz$2z6@12zm(Ccwq5j88+T_dR zm+bEuOj6PPPK?i-Yn=&BmMqFq);&sA3F~Y2ccjkc!dBL0e@_b~2Pex#X1cfk>JU4k zouF#nj4`Y8N2RBsgvxJE@n_)zMx}#oa}i5|a;F;F#O_UsRNMBHjD_3oZ4J65l`{x` zC&ZDH`K)(@IrK2cPYV3HkfYw{@&uGVydk~b6VAQP@v>-}Q5(VI@Y_u9STg;+ih3wtcug)gt(I;-8fg*$b1Ix z`&eSnZ>BQrSN3G|E~e)dUCEp*@wHlvj_f!#1^Ap!aPcr)u)}G-wPlp?i$P4 z_1(t>_YLx?e`wCs%=+aRAj&Nwi&dSkpx;pql3ImrRt~qdluN|)Uu82!t#L_084 zo{ezHV`MTv4QQnuZvOSZ8pdp-)(*O1vqy=CGgJm%GA8GKJ%BxI9FabiIZu@@zSgYJ z8<=ZdFZMAgI^3CUPgd>bO>EWReRwHeK`rna%4rpZEUhC_xcd_bL0-X{z0Z65OMZ+4 zvp?Wx#pi|8;`^}&uW1i-NNUXp7``E!Bo`N#6J&Mp6wMX(2Ld>ee&q1`g3eFb1hAo- zXM^4#RxHG_Q=n9=Komd?HDL(S8LZpxmdo{47-w`|h?es`(H7&LP{#(w)nIM8Y4p06qKnzT3`zp2u1-R`$oHq{C+{WxG1F?d`q z{-yAD(FU^BK#$t}o|=Oqn%&+j4X+GfcWGe}*Hb-|1VmmW679!)dFOeGX*<@f$D6XB z*P-1i|Cv=`{{nW`S6by>3VSZrGj)8NT=a< zO_go9rGWVC+E%P)10wTV211}C6b$SZ7~(3`x*?mqx!{(E3J?5@51<=?d{8TyI*T=w;}0kwbA~qJ|wF8)QSBGK|eldL$w380&miCE8htq z!>^~KTM!A2hVUm51f336V}baNbP`W{U{ z2!|-N)V3g{gI%y{{qFhHMe~y5quIl+{rPiB>#0bN%tcYuMfB0a+PD4;EA9^%X39x|OmdB?TI1V#^zookj_p4+JRTthI?$vrqa$wl z&ixN7PPXBGD!z)vjQNkeFae0^&h^~*Q>}E&BRBG3(P0T4o-U&FHc9DEP=s4ZCf4o29PzQF~|4ztMm;1rch2aEkj8u+s7N~@nrMyKS zy&yGxDh}Hx+V0fl3$qtj&y|K3fean~;G2NmQQ;3%CAAH#uJ!f(V4;nXevA(uOg~?! zh=BfOr8Y{Vq@Xw>1a3Xzi<*zvk!89c64Q0ORR_kgE`k$yvvyq&K zO9_|mJtYr%vM}VRd??+2Hg=N4RQ*-O4F@J!__Vs8omOr^%*FBfDgW%kr@+>mv_gjZ zxfqw5{j-15F_`ttbX*kJ28-YNC3{87UQ1YHT z*#|;uB*P`SaHjahp-!lLBI?T?k7|4*$jB&&7!#epUwiRrpET`B~Hsp z9zCUhx+K};4kc-#dt}4$5RC@mLoXi0zM`!y02(kc` zv4UcC3B~J~Agnn?lAF=kJ73~pdrbAS@a=!hf;CXAKnoF&iNfPu3ZhvHQX(K4&n_7v zFKBVH-QURdi^lFRx?S?YJwo^s;{1D1hR^0~flv2V2qg>A{f69|`!oY|xUZPdonlf$ z&e6Mww_)G9QtvLhDL*C&zc*FJ&*R8!3Gqy`ugL|KO0>a|c+GwJUCg;((Y{uR9v|3G zzL$FNSbJ8xCC0U@{yaR491zr%@T?_Sv^28>uDk)M zU;66NAZ)`Hub5Z(LY0jKKZT)oW*hcPl2Shfm9F&3k+uV-#g}{0?z%r}crQE=<1qhmvUt#3YV@trJkdpRj|dE<&d0J-e%JrN_cBqr)2u%K+h?JxN%ol( z`eTRtew3f)T0n`0|t?@sasVYzX# z7RI3mpaYNxlZ|#f^?4QKd%B2lXbm#SO8IAyY$C39SX<|y&Pz;P;VeIZC?pGLSsskA zFZORddu+0Ct@QzuROQYM6wY(EbaQ#kN>pq3tH@K|EZfO)TahHJ*_Hc5mm4cxu+7?D zz0W9wgpQ!&N_uwbBW`yPkJShMTs%e}fmv&uAua8gs_3M0reG{~7{j3CS%MN(du184 z)-|`9byHA;x%S)Odim%#i?HQ&vWecSN@Y+JP+V!6Le5dtIl_WtbZW1_-69Aa@oRXA z6GcbD<8FjrT09G1>#dy1)m8(oJrx_stcgkigJT$%|37bj5(QGqiVn@39obs}F`dRv z#bWub=$g@|{PA?pR9L~5bu=!RC%C$%0XQo?YbHkJ2wCideyQ^}*ET$j&|ACWcV|8c zbjf1vZU8GPsk`sqfaHq!u7n$Bh}(hJHO_{;FcD}*O_Drz%6xme0TlPP{*k3OYO!83 zq70P7*)#A4P6ed&kIR-aW}c6dCjz=P#wy82>)K=XzWljdkVpm|5%T6ReR0AVDlTsw zX6b6sFn;VnDJj$8R!&_JWc3lB0|Lsf=wUfLr(`z=__aSOJ)EyO4ErzH?yi(!-BRz~ zH3iRt-`N<*u=~#-T^1VAC@e?%=}9*4jXrVA65|DfjDynWOd_fvMz9Ef%j$!-909X- zT4ABaU`H6$8_~zz;6%coc2J8-vfl=nm-Il?G?=dL&Y=;U{Q;3{{}RWgJh>Bi@fGy1 zTdms1O7mzbug#PG- zbx?%F(#`?hpm~OMO9=76bF0S3>Jtb_d5r`!YIM??>+*l6!yU9sg?hWcsD={QAQ(I} zcSc3Y^Ov@mSckGe!1M8do%C-irMwc7YjAy@@!YLswuy`aTN+Y~|KJpFm4LCnSwy+L z%XK!ZW|wiFd764Fg~Tp5k>^^nY;~J?wHAyn*qkHh?_RO#z2gux7f5lz!Rp3~GRLB` zqecTD=~noyQC*5fu3M-Mxo#*~ytbe9cuEg6JjA!~BxOWvtwpoZ;YQlepO3ALvR7T6 zpJQPh(OLD&CRusX#Gtijy7x2bfX-68mjO92UUA;J;lM~UuXQ8g72mH5Ax~kcv5*9A zGfxC5Yb=Q63uH=Y$r}6p?!G#qFLAN|8Sg>qbsFu#;OM-^!`<4(nu^~8Z^Vnp+}V%$ zfOEZ)yQdJVP?-LB1?Wiz++-#-2cF7rTkaY#tAv#{gH2^k@3_sWt-S@vF}tU%;yK*A zjT@8JB!y$XYB6U8b=LzW+^EiE*C#UdHJ>mk{r&6^5>_RFL+}3S@MfXVI;=YFNJXg! zRD&w)e%?3YSty@5hS>YRL`iGZ^JTh@T_dm43FhP>ZG;c=cW0oyY?};*k^V zlbUot#>i6%NCEX65v?I#QlM5AjvdV9)@bQ3tYp?ipr^bnFHjuxQd+2n8ep>Zd<#b! zwMbXkgAS0Kn))L|3+#3zv}9?~1mAy{9`ObD;{63P-u(yQaxl5rcR$E0{rWZf0acR9 zD2cuc=?h{D$JRiv@qaH)cLNcQfCVdCs^e$^JjEqHe1+J-X^qiJvZwPU6=@Bt)2S5K z1*;gga1SK1)^_P|btfwGCkvChL^kPH*igD6p(bYiHbkF-nz2O>V{%`YQxEI}RWiN6P?p~B>7gvR1l;8vGI_4}lm{Xj zrIe?==CVsjj6ItKO2>DrJDp!$nR+AUk{J*6?`j2h|9gPK(C6Cw1OXWHT;!xLxyk%$ zw9=8F#r*Z1U@4o+o)5ms8lmOV4qzt|o~>?@*|>Y$3_)&R0*y|cwzao3k43Yu0wRCfnn~u5ownc?slesoXuctmWz4BM(a zGjEy3{t=L^D5|?qqo0iNjHqWtdzC%uxK-i1@n!=)u^>hE=?*nl$*|19;8)@fx@2 zmwX1CsQJ?t%b4{L;WcM+pCwvuO8eq>wy|Kqv>B?@wQP?aVbMr@xm1I%9&WpZMEI5e zKxyZ&7eVp?V8M&;&tcn4cu1;aM^)aPOtznY!)Dh1R6|D=+j}*~d10Wez$BlXOguJn z*{H!W@i19~0BY~t;&Z2o>#i?!_4ss|v7ybWkD8Bjt4&uVf2}+lX+R|7_xv*_t!70F z3!mS4e9LX$l+o4p1o0AHp5Ktv#1Gi`3(yhpzc9|$yW)5J{2huZ6^B?KMqGjAx2HC3 zC5=JDN(jvJT<___=Z9}-nebEq$I5B>bJwSt7Rtt7-r`+lu3vhJF0U?vmaKn*yx9!y`PPb^3@1xlu*1max&_RbNEiz?E5~$mUx6Glw=&&ih|h z$BFlUQtjz*lV6XHbvQEMrUkzoARaKCPS-fz^+B38Bj59Z(XU@;^1C@kQ0WJ*BwE~r zWJa{2+H{k>)NmEWi{}XsbVwFKN@C6l=?p0cjALsA&sWT}^<()mcTQWfr9NnLJItfK z4_QJ2b{AnZZ(LT$cvQ)C~htBsaF@BDP3V z@L**$c5pjaxe4XdOF&)tZ>Mffk8Rz6zUEF=;f*|f9z$&@uXVG49OEU*ye!53Ffr=f zWRUSZ*doS(^dvWY&7R_XET z9!7xV6~#6Y5e+dlniIS30Lx+8UzyM;BIx;!XiP1?lwx1Da!oS5Q}BrquB}l($Q~DV ztfv8<#~)o*&5F7g%0}M=tG83gMW5Z+LG2-_0AN2 zZYRD%f-pg-81J+BC)w>SEb4Ixos1>tAnrKmN>zMZFpx5NPBWAAOEgxSBe*Xtlqvl0 zl4x3hHtvq!c;F4aY4f;ckEsM6AS<=%s{v%W)-?c9f39IPe$Sa}y(e2=+ft_X!JIF2 zInmosP%=Ix15UT_2rMc+{p z8JSv|nvTKQ5y-kcQvtCYtxQyE8z3QSR$w$t3qq4zDIV6!F#vbPLPCjnDqK99i?w~l zphoA!qy3#(8EHmmWdipDzI9^v)FV3YS0bb)MM(rE4Up&`B4fM_Lsf84^&cDND9`!) zCL1sFe>o;Q7{gufqxX&gSH>iURI zy!+1+=fE8u-EZo@Z1$=QQGX~dluB2_!_oil=gcyhlu-CkTk+yOmjvHmBUVX!h5W`? zq^7Es8XJ#$A>-%kd|+qt{VerxC7E3zeEa5c&2PsJAAtMn2sIvdVd7J%Q;A-xuu{@y zpWJ!U{A4&_xaaT&Mv)sZiO&iQ!De@sWGXIQ5ITw%zQEm?%GUg7rRr{KXHTNHkCdVP zt)4_55n1?z9Lyc00QU_jsjG~uJ-@zSbDoZqJ=_oqco^sX!L57&<;Gp?qU4QW+PS6^Id8u`Xhc)~QHtBIsD(c4DB z=(9zbm|mbjTVKJ__361mLObr)(Tdm{R(^Z5BnU*CICIse=iYgtwwEjCNZ0yO7d56+ ztr`~=$_fWpl?SgvdhWQho0?8VX2YxY4i_hEMA)^cqo#j$aZhu4rL-#ZSl=b zZ%&Xf6!Ma!G36lOiTr*Dq<;W^n01FB!QS#GCjNsWZE$`I4p8heQjmCSrRPw*ap)ez60;XBSbQIw(mp@yt^m6w-vhW zUVZxG>Twtg3W$^9A~aJwki5hjW9;i;gj6Hn&XDg9_i}%p6s`OdSWM{Wk0O`{`r?E| zM1(9l@~G^mS61tCK?~~Yrl63zQX*W{c@1Y)KL5ei$A#@X8z*nFVZE!bfs*x5_VAW& zPeySkWIkLRYyaGZBE{hyE?2dxW~n_h)>yE#vWV(ZZ+{HNpVlScEpZPJCU_|V&2!oUndlN0N|?yWMW+c zS^7km6@u;u@R9}bmGZ5pGS7?`FKppA#$Vp}FmbrfuS?!U?LeB|iOZeN`98llr#nlVDu6u>5yu7Wk$ei0I(WW0 z+kFd3peHY}8`N{So)1XHeuNcl70sHTF!Cdeedd@Y^xqsOrJUzrcmQ6SZ04;$7T;sY zR7<2lUAxUOq}I!qaq^bwR)ejV5?MX7)}4D0p}uXH)W4$Q1ip*27$bsWFArf4zNn5z z=vfeWzug;UtU>Lm#fiJw5v1vGA&s~@rwPwG$D;Npon!`X>b<*o32;PmZM!(6LY6?Y zpXfh{ceiQb%&^w~zhUtBJe5du-n?)nx4E;2YiZiOs5F6^Q0j+Vy_)oSWmv zthg}~z8g$KV7mQ%H~kC7OqN~_RhO#+RaNo6!}uOxrYAtp=%M1IXWY4e(`+9e9di+Z z?dIX5BTa@J@rz*beF4Q=E22_H*t7xP-37uQW`_*aa3VNNL_8;v_?8$}Gst205}02R z{^A2NvG>4qO(Z(bRqw8tl1-@MNftK;>u&8Tkj34v+U(2FP-N=GL zuT8645G9gcW^UBF&9zRwZLzaDF-xJZu_D8ml>&yyTW9@F1IifbOZ1g=A98v4?Q2$M zQ=sZqf-W5Kmz>`^9!>z985|qyxA~2|rnm4e#?Q>}1<(xlRy4)ko*onas7k?bJ`$MQ z47Q>Y7A%s9d>5#qK-PUoIA}#YHUJsVmQU;3l_@~Cl(=hD@))O;Yn1R%Ziy~5T#*f5 zP`y1$Q6#N`sove0{6G?1&7^m#d2WKLGUifnkW|WR;I;5{1Nk3~@hcd=4F0)g8SR?U zh6`V+4#Xf$O<3sW{28!syd*02 zT#rpXl4TWKyjb#}`=-x@>ps9s1`d@j7z+}Zs1d@hq*`_)sFc)4C02iHu;WSz7t+7n z^6(Y(8?JiAcXqvIt7@qQDU=&~klq42 z*W_O~4;c$NPuJ8yr@;80+)Cj3bbmsFNMe^FtGCH7eNK<-HOsL=in>I;cw-*yI0_yt z8$W+CMi2bgsS=A~_c43m+<8R+v04e>f)A}Z4E9ho6wQ2Up5+-s(AOn?l&>MHK2;n^M`UzR>%|vE$66Q#SQMI&IZ3zZf#!pYGUH>E zo@n)aS+?R{g+URipFLreOjjfHxAvK9kN^6LpF4HZBJP6I{2<0hD%n2g1jX3@#^#xL zqgHzBo{nX@ch`N$PMOgs^O=9`0|f4XTc;cu&+1DMJ?q3M@U9yY-STohe0)mcikldc z&$0w;dU?-;zO}eF{=oR^k@=u!1vC^j78pKSeN}YL^1l8Wb zpQA7Q@cUc*$!zz^A>x-rWR4^n`Pp%uo>hARTX(sW-L0>JhdT_cn7e$`(N>a~t`OW5 zmO)0cocxv;-| z#=H90pIPCHN}HSu12Q&sOgi%kMj2d;qcC8N_@rBq22QU7mB2F(PNRJ*VQfoA=;`_J zyVJ)4uarf5?hr4%S9T)nast|(lMt$ReRA+FXMASw6UynVqnExhX?t+}c3D@H+H@aB z#oSZ!XAzp$247bXWke$#K8v_YmO6yoYbf2<1i_CMMx1xXc*&>|T#u!|%d|B+1XAjuUSer1@ z%%8kyCeQu>Sii$7J>23AWD7w#%?|$Jt*zOGAe_}$LJhCIOHrhhdF)bOvUd;t1kSx|&N{ zk`f7scRM^1wYOak&7x+%FE&r@k=26#I7gf?H}k( zk8ukc^h1z~IQ@91ifpZn;6%GEm|K&-S1LfUaBS$-o0JF(Q#cuWQ9@qp&k{Yx{&;2) z$TU(3sOGT9rZ431x4PYn+&P|VWG!T8TS#t^_V_G|sDt#%?-z?J5B7}E{OjWT%xvcX zTczzKuO1wKr-;64AJ@x7GmdwGx5f_YPcE+Qk$WM-HJ~(*6n1*Bi{JxX+la$vhq#k zE2SgnT?0vONLP{`W{1$ui<*bl%J1Z`{E)>)RNWc(6Ijn~g~KSj-KSBjE0SY9-zhhj z;!<^=52kp&^<5Iw=+}a!u;_d)6kI8Pa<0tYYD|qrARFr@2s;ft`UkmliSdwOn&L$n)s zNx_mq*SOVX5#Z?^oA&ee!Tv_gjNM7X@37U`J%+5eKaRUf9n;5Z(u9}I&|joTIE=<# z9~u&v`nu?9rXsN$koKjs{5aGP`hSaBf5J5yg)gv!7;=Fn%FOHU z!@nr&`i$g~0$g&_>up)9^#yqD6hyt5_SHGOZ0;3K5MjbU-8FS;Us>h8>!v=D%z?4n zne~gL%l>s0nB3pl`uuf%5P_Gua?N8kEgqVu*X9%H3*cnSl)z1=BI83glF<|ZDe4Y? zm3qxHyu~c*gWjLH-F7(*Q$J%|zP|%3_uVFe2v8#Qe7@J_1n8?=+Sq=L)5J}e*I3?8 zIEQ*RnA&Ed1zjbImoQhB?fM_&OerXLZPxkjZKziL zT`yYLYk8)N>QQ;#Y7nXx?{dDFV}`18$7oG*chRkeAHQ3JkDI$jBL2NrZdqJuIkLWj zst&^`g@_N(dm~h{Z}UL{GMVXr0*P=(;1Ap6gQ2bJsWe=mM_xv6iM{azb+8*A;l6da zTTX4ixzLiTJ>*)!KmXuY1_uuR5DF6uWL(h=L#TebwfYRoyX9$Qm<+baC5WGFNSo7 zt@a&1h9}L8UZVNhPxu1Hz?_3hyhC6h`8QN=A4Tc(I?dZxsy$~vrZbcIoF`@H*tP>> z;XRNV+?(C~ zFE_$>C^9nN^fBdjjEtRS3a@$+~ z81%AHYb4APkQO6F+_Sc~ZavI|!BU7|s@hdB^PM}iP|#ezb|(U8MV_2Qs(8V?0xe%n zE9ldiq-4?r>@GJrnQwv~)`i{L_hS&lnChF7#SXCeC&JvLI{ej8w={r7$-Y!wG<_&_ zuvIsg>jsM9u}{|+$RqkUUNBOSms9@twYysyXq*&vc&DfHO9|~YmEiU(x0V}DZRcxy z?HRileH+pSFALgp3*P(j{lz|Kz$J%Jpp>6hGDGC%=PI^Tolt?5rpRZUoeisCW zCAmGO9G_iYFB!XuaR&z-VDSfA18?>~Ud#6a9BkF(K4(u82(A;f zZ66(AgF^D*W?^a1nIYbRA`$Gi_yQ!BsYwaQqAAUrgmI21gClx}4-2DHbuD&?O+xzG z-^`k4{dE4#O>cfc`suEnUaXtuuATP{`aUuwqjfT6o>TNBi4@UrnTn}5cR+S1nZNUH z-W3(T9?wp?2?|o`4=HQY-U68R^Si*xHiow?u(nRhD=-QT~}~NhO-=b?WSDY z#^x?~kD2L91fm;=y!78MU-p3zs&l03cgVViXa1C=KV-m#rsU>!JudaHMWg@{RJV1K zln`NOONZ~KaM3fg3g3C(`(_MN)v>|V-KTsCIy(Uv|k`?xB#W6Odel6V^KwvRH&47 zG9E;Vq9qD!Y^5B_pvb%PD9Y5AJ7ADh;|YK9kC*l1F$|WDiy_?`o{bs1o^#c@l(L~? ztrGITp+^YmRP@+mSvl=ebLZEA(4p!l?cadC8zEE`uk-Q(-_^^UCEsVy;4W4DTVxBJ zd3z`aRrm*@sO1sy##<(1LOV$dj|BL^lmk8vs+HrW0NRE6dc$6(GNE7eAi7lq4E8P} zKAmJ!iwNdVUv?0J-b*I`JT>Lw0vC+TO zMCI^;!vqQS4oT>`f5EGHmj`?7z$7t83%Tw+SA73=l#<=GuLbZ)Rl)(UQ$+jmaoYhh z78m77G)Pj3TDgNV{GUGc_YX8xDn*@P%a9KYBs%AZI)0QoA|q(*>3PBxTG5-mU8Jp+ z2B@IhSO1Xd%Z@uK96yWeP*)nvwf`ynbMR+(Tf6#H`x~#NU#q`!ahvPvP*E}c%D*Wl zIPlH!s)W`D$QJqZ{p0VfJ+)8M_VCoMV_380cOiuFJ)|oHhTIS#qbh^tp*?Z&n*Zvb z((hIeX!&uXbFBQ^p+hW>$amk6wAWTZRW9Sk^%7S<$*9EhCqs>BCWj;4mT)th7*NVo zcC$N>oO1jqhbs4WS=}xlZMqZ^Ndto|fQm;lZyPM;J_uxW!d71K$+*S{k+f?SK)1;c ziKQ>ZcuvXU7fP_maFfj1hp1Jp9-n<-tq*EO>3P8iprm=#%nU(HG&ApSMms$oDU^Vu zl)HZin}Gs+=GVulT+d7NdSy=UFT`qj>6AtutC0L(eD8@6F6Sx)d%V{&T~eI( zgO!f-3kjAAO#Q5%DRzF`2YO&F*4F zb$M=w-J-hF=kkbYZWcQ8H9p4o^$9`E`;|q$kql;Y-N} zFv`tA4*GBAw)l*eZ)hGop|e@WA4n<=Afb7;>$CaT66T7j823DQR=!lI$dfm%!^XJU@{qA?)xCL91Y(O}ykD>cR3FGi{J=uT(zxSU*C*G^$%cOHpI* z%$yJtWxRA}&z;VVBSRx6wQqnmqb{ueG8(V!+bZ8BQhcaTRYLT!`=ouCp0?`7mseux zaH6BR;GVkazWXd^si-Ej5fc^+!!`JGLrT*#5|vQ3%Po$eGJ!c$WRNL`CB8RICal?9jR${<8q&Af; zm>%$JUWTIcmrU1RTMdqi=}+2O6zvh6Q`b2#USIH~SK zvo+wZM==7vZx^G)X50B3nL_E#535;>3N$3X}tttp~ zWpv*_3TP1fw}5CgO*WW3peHG(zP?`eBS@RsfB1n_S=P4yp}Cr5l=9olN`TD?xZieq z`qcR4n=V&BSv*Wl`I@zf+S1I_P^)Ln1xSzfAp!g%V*l7%nuq&T)bmrb;^2XMZ}aCf z*N~&{#m(xG2(x`70HqA-JE~z5h?Ld?mGB>9cA%^2G3yZJU}Jl2^8)$znMViQT9N>HCM<(b@GCf=!srLT^dY_-Y_=Xcz3PHU!Af^7Lc3$}pt+c#J{Jrokp_fTal}VT01WA!p z#s&Gyi;|P&f{C5C4inl87_FOnya(c_Wmki1q`hKL9ibp3Qn3r4VATVfuDSzPOK%VEWQko8IB#8|T*)z6!n;vVJ8otiJSMQ zfreeJ+yN2rf@vq5AICrnG@Edh!-5(4^IBL0a{7O^oF8wgbMq$KXHS8;2J&L==t8~^ zGGTOe_%Rjv_lQFaL4X0GNayFAsb)KI`f?<6N?EoKNuR{bY!^4NdMT@rT8PE`Ub6~lJr}?kF;;V1yOMT z*1X=$=^ZvgA)nibWY#$}gsK0yIvUPk=l9#9h>gG9_oX_^@TuP(Wkz<(qT(m1Xi04z zfno9En*+e4aWk%AmyB#~boWvSR`Zn_c(AD~!B=hjc$Y3F z82M~y&OlSWvILJa5hUExmpM7Ad6Z)v`mCBUHx_QF)-x|if_B^qk^{&`e@V-a4yycc z(atd_D>alPGZD033Q^yWRc>;F+8pmA@=fveu?}UsDx$}iX%zkU5ldD0@!u9c&x_DM zJ$D9g85J&-41&N^pt7{k3vyRXlA#u*sb(%LN)^3w8lzJ{ zI`PRoH%~_8A++GRB!(WXx@R?VUpT=MTUuJ_@{$0n4`7UD5~l|}?(Hw0B=0j|k*5(c zlVUL>-G}3;1-On>CK5&gMS=AYm~d2ob2m+{99uQ6!1;Sm=C#ji3y)!>B@(MwN-M;@ z4w;ClU%J5MMe zpZ#be9{uy`$Sr-v$l&n3{Vi;6X27!!i8W=%=;n~_2kA~=$}|r1t>=`bD# zqvhY(42t%tNPpb#7l_91bUY{`Pz%oACuSvbsOz{|$7BXH`>ZEaQNG39`4UK>B9EjE zURr;C!rV-c!kS0sM2Qzk(k!+Hf1e-s`*X&?fq1K#_*rhM$bs_3hjMDHyNwI9$9>60 zcaBf#?(RR|>d)zMlDWX(eqUVMV5@5VjRzdNqbjwroqo`UkAv*=&gjXMQ^K{7gQ*^P zGOMnX;{XT^4e)~V634kHAhTT`aI?r7ggc1X?SE|5JyKCjU2oJw7LaqK!tVA`ctZ>e zH_tFbjwIEmq!}k)8&$6Ll}HnnnSmdlcg{!mC+DbF5RDG313-Oby5kjph#{xb4LP`LshDHjn2|qEmJ|h6R|=v!3o91q z_O#r5yr)JgjJ$|?YcTms-6{|)EHhjfnDlH;>ha3^noTFJlg8c$4c26^y%&>2kok}f zBhg&hjdI%~*1>R2@Q+Xt4nW<8G*7Q$d$QI(ZcdArt)x;)I!2PqmOJ&DTWws*^JIA^ zH5XB|FTCLLPJ3ab%AavFI6eI0aHAzpG9Iz-!SF|;l(>)F*M6w@mhK#v?Ozux~hZ7B!pQa3mQJU@#&@)^}Fqy+8wBA>Z`RaoG!n%(?Si)b(Pr;CdfVh$?51$Iwr5gyk54g;Otk9OAf;S^FcQiCCKEJs$ z+xVvw^dJ=P1+GGHWMm?^P$hCuf@jzmc6GZ-w&;wW9rYj8{!a_g+xKKFGvx+!bDI6L z>#j|_HV!{6HDvM6M1~^45kofg=J3p*B#9vRsd|ao-*#~B+1=b|tp;;y6>+6>fhT=$ z+n=$cI)1k&?hlVAVmvo)R&COBrXStTzs4uaw%@od1xYBM&6_BT$nl+WRQFs8Q$9-K ze&;ule20mw;}EK{>cr>KO7Q~oPI=k0IhrJ&iczx?iB|hJywzH_Vu15WgloQ=`3v=5 z`^sMH3mb_LHR#bkQt=+D61%r2&fhjQP1eZNP*O39;Zx_%^HkBGi|%aFY5;KSSn)(B z3Da*V;UbNZyEq(ttzy`y?;s;v-0+XWpSI=>96{^&;^W&A_u9x{SQjruuz@Mbo{2c2MDLGHQI z&urX|V3JJ-hc#v~vzgnoDhg_hN>DI-h$vtBrB2cycRn6*IFp-!^SPDD4&vLAi|5iW zMs=WO=Ihp2i_xPAe@F63*JgBFw~*6quyd9o7O5w9?WXFaJV=-F5-A+MnVJ!<5Wb952ISc6 zPJyM0E||=ps(k(T!y~PebG2*K0}79}hZ93J(qsuEHWjIOB-wq5hE&c9tb+{kf)3#i zo>P_Eqv4s?J~hq-i5`PKTeIfO9TOm@!_ji{#3VWLnGh=^mQO_HR|Ef_aL%-sEq3VW zbJzNyW=I9rtT5;jaV*MHrCElp9m|w!&|U?@$|3JE*(tS&>^e7X_2WE46Zim~U>d|* zn{#>PsVE#0QUUXuGh$T^=Lw-0i2^A0!NP7qA1QFbWYprAD&t8Z6KP&MSWCu(3Jt=L>+yS2XsrKfITUGh<&o^|wmE;^$ z{7KF2cm9dQy1m*8=)PJglWadqZklj*I0-mRKi5C6gDUo5G*m%0cjs!TKfVSae4>&S zcG^Vo;Vb@8M^LG}N_ASs&YmdLqd^hZk9lS^%gXT9g+JGl50@S75ZR`bd1qLej=T1A%5FAq zQb`jF^3JnJit<#+v57`1Hy@JMa-Dr?Vcy4!;kl+$WP%@HweMjrnd)7WL+wl{O6bh` z*pF*{BMH)fA11H%dM@aQHtty7c2%=`pnz82HR#$pKsV5wMV%#7-Wv&3jgMaBL0TP_ z$1Sv%_BwA_zPaW+$!jWQ(TqAJ_9$b?dM`8w4Z4(5;;x_Gir#oxQSCBb@B3V)lFepr zdpZ^K(t{AOR|mu+^Rf!$=5ozV{ba;+@Ao~)+nUxlT+GfI*BdZ5W_#(Pz>>%ea+(XS zJ&I+(6n`A{_QY5BgRrr$>7tLSBf)g}(h@%O{f$~GLK}|;-3ghq@kOj3M08MB3n=j< zsCQInSbC_w_+nTkNsI#QXetGPWyxtBE0@_xBN+-GJyk!%9UCCRt^c>4RE3rMS8Y_- z?D|vT=hYw<7woyhF29Gre6k{ckFt6!yOk2?r4G$Gg^pr^gkv~5)wwES{J+O!`efN! zr$Va;yXa)#JysghQ2(JuT6ve_iKj@uj&}#xnjrG_BNPn0T|>aG2IzYr>IMojBU^U} z%T=0a7$;bjTuoh%~H>?2J10$UGdrj~8{lulM`&{SUt1+s#$|;5z3up5yVnKkg&R1yoElVcD-= zw`#0+uiR>8yCYUfIbL znv-ClTlu{eZ{~*2MP7=+s|%eJl;@M!1NIgJNo0QXi!ftNK;I&mD!&G zaUppT*@2-R0j!xUBp-&dIX?@wz7EaGxd8nDWlE7+N$;1%8FSvVDtfy|+QAmQ~cqs_Q{ks+ZdQWgl2yMG}8(-zz`Iexzu$Cajj> zykWZhAg=Gw3+(BsX#)ab`GN9 z9cP^F?eV?s^l^F5+JE)J*kp^+-L)I z6TAh?3GLFw^X!Sc&K)I${DqBwdj&ovk_idVW` zX)u&TjXo<^kqZmB(OFD5zTj!0hOv~}eIHCllzQIq?4K13sb#iFrqa<0ewU(72rE|f ze@T{zz5dnHL#FT(CoqMw36GaDo&!58@qXqF43D+az3#89GFn&S(T{maY!$qSAd6Mo zck9#jH)rl55d}}q7@sGhHrkJlIswR<1EVm*k{YXOx*wd$KEZJyUr9E@;J{(mgfx@- z3K)Sr0Yj7W{#CF!BpZ)poo?OMZ)l>yl}9?S%s;C7bt$?X1*FEOup70NJ55Xir&nWl ztdJP)+7m(23LZ$&r;v|dKIx4~^%y60_s}K5N)m#cXVDTuW4m*yt);#0j2wE<+s+1iuw`$M`dZq^k^l9TFyVUZI;#(2XHVAQ z3ydkxd0s9Z4TwFRTL2`5tj!H%ra#<%K1fbTvL8#FH+%3SFF-90tcK5t zqAH#v*0E#gt5>eDdhAnMp-X}sl9tqsIR5@NykdSJy5D`-Sd|7Dkte8J;x;Zs?I&s0 zGXX^Io}BPcg4n+CC2~lD*@(C_P&O)YAh`Me{QUp_-~Xqdi?d{(CHO@E%qqArfjDvQ z+ynA4;>6HghlDM5K_pDWs9^aR!8BNZf^)oTZ@wuX)Z$aOlTU&h3j)Cw126g*qj>c4 zFIWM8oxS50`M;w~9hPY^4e_6Aet@5;y}si_flr}O>x=VhAgl*&-?w&EGSXew&1*WhD89TuVJa@Z+8Njac$M z41{ew=Mo<0&JKtM$X2{A4H(y7rvLd*d>BAQ2S2C4sWi<%iIl*xqyV&#;uRh3$r3t% z#44OXsq6Y-)iOF~sbuIA-l zcs<+WHSBa|b&VOly=dQ5b583kp0&#V&OTOlsAnhxqVBxA_Ij|nHnvrT1*%ZV$A3Iu z|Cy`M?7D*aHQSmsy@7Cb;mi{c`g|I)EnskDkIsKA?vQgD4?^-AX!#9UtK1i#!ms4q z2vF^}xB`O83gFo4=K2G>|H(%DI#W-te&re zb`f_G@MlvSL-@s{TVU;X$~=mWN=eQP#>v&Krvj#L_G?$?FX#Fuw_1z-T8;kw_$@V9 zd# zX}v!p8` zp5Z5L&s*{M*LxecXc_uIV}fcdjo{xhFL4{X@9G_Sdj zH<6w;$WNwv4>k-WB~ih_!HCOVNmt~pJ?<{(fmnlnfcs5k@z;GrG8leW=2Rq)-2H27 zd~kIl$Qj^ss00DG(4AiX5-ndy%f;`T%dZ5FmLubb;BJ~t@uMnacQ-8?_~@uhr8^?z z=v1Df{}c)P%SqUCQPMc0Kd56%XFC^!3t2Piq#38!MUWMhDO z_Yqu8c>z%Rt=szynk!$r3N2L-q=g2H#Hc|h>=WQpFF~){1*BI`h*1pl>z!ZhFT60~ zyKU!Gm64`VvrdB^=Dr)i1Y~MqgH;Wa69;G4e_p*J9Aa6hZk_+_N7xoGCLH z{pOUck0Gx?K0j!juPQS`*zXk04J~_ad{!4E4wK_zxMO$qFKPYsQGn!;m1HZv$jaeF3K}ESF zuRO);d*P)e8i3b0=>baj@9!Xia0OqF-0xj}Bp$BAeS8qj(`R76^qsqW4l!_s42;Ki zdXZCkd|1>n)YY+o7QEg-lFmgmJ7;T1aCc`)j-dPZ&qXQnJTh!QisRGxuongB_0|4s zEe`-EB#PraeD^NYI|$W62Te^uAz>7+(uDGRKtdG zK{qqHNw%Jo5Dr-DqmLf^F}FGxV)DWWcjIXKzAZ0=#2C>En4It$y!95a{VqsBhgLxt zC=^;|Z;PRGysK%ag>FEbpbK2yOU~dc92q8@xFAutHV`a36X=dd70AraCO}}i5n;mo zk6ZY`)rKQAMq~ncKWQ>R8$>x$kjkL@`U;z_{GVxmnwR%6L{*=-Jt(jmah6K^<X(=G+EKd7*0kylaI2#P=OfGU>SMO>dCIA;wx)-{@vviB6Vu zRYRD(h;yU;;w!4id2b}^8;0)r9wn|9T&)^)cXtDHz^LawF}JA6em# zP8AkCYO+v@8q<{r+yX#1_z`*_w#8>mCG^+9!+_mG%PX+FCG)5S-+t)cKMM$W zmn6a1u5RJ^mDl*j9dupRa7?-i7&!-S7({ho#i|Y&_pBfv7wJ08_rC>LKsoYsKB*Gk zYSGb>;Q}PsHFp^RrnR2Oqt3VZb-vf_t`)3+E-8CrDv)*?wY7Be#QD1kN13Jj4MvUr z@0JeMe{z>zOm+40q^ zEBg=N`MkdEHTd*>gu+Q(r2eOV-kn>k5zqy0E?rU!LrcHDC2D@b*2Mf|fM zby}?$C?J|3v*3U?p(B`y6oY-x)zXz!KgK!=Qglx7#e+`Hzi0Xi3@qPck&^3~*Ihc5 zMQ+slH*G9{C>4=ga!mpuBQFRSaEMs9?f@yo9ti+`gEw>%)W^3!ANx-+{Ab>uM@r&! zy6z%4b;p8DqarwfrY2ldefRJ0JovXdba}*-&%gHq+bGS7nKwC^5cf!Vt>M-GR5$S3 z8IU@}LgdOiXD8{N|!^MzMo0~mt3Db3rGmxzeS8H{e!KYd zgpgGc$;XBA<6&30VKH!NehE|)ry$26pwPqs2f(-%w{EO3#Lh|Li$j!rFJX0c24|=@ z?zlJLb*Yxcm3IUyK6fDtm%J^ zB9ekaZwZkx-&?XRpD!IG++Hnw(|%wSz5iVbRGUcatpHIg!>VKr3TCxi4`S3yJb_mG za!#ePz2g^b@Hz3>t4LZDSQO?r$!an>Cyh}eaVHHi%^o2<7eVKYl;2&iwK>XqgpeCl zg#DDqWvnZ47=XM6N^c-=eQg*iy!_`5U7@uUi1<% zc&><_u;C=}%BiZRohzu@t;7z^y9MaFB2gPc4PdI|=8E$oQ+(52+)<`=BZzCY;l%b_ z!w=}?kWU6C1LOvRI8|t#N^)&}iWg*2tw9bbtX@!ac19_T(e7-vK*R$z&zt9G{2}keR7AQ2k)|jUu^rhsmo8Q5YqvyBgZ{#1eSDe?JWg%k$`?moYDJ z$T>aEW3G>a7Y9mKT?@aj$r39-TT?iB{_Y9g9!OVGWmQDZweQ7x&f0fuQUUrJkj-uQ zH~TtTK9x#!3Zm+V#|H9a8T!y9D{x}}2rh8nwgLLob;?p*R~obt{mTU~3-Ya$d}~WC zl{a$O>#;)EmP^|AU|A2V6%!KdReCT+r~0Mviq6bKA=PWNS5n34PnXxaFHZHA|?%Mk%GY&EIbfJPT=UJfd%X8Ob=g^0B2S< zc%Ft775Q~4(`C!pZ$7^4B?&+R>y@J>*fF*u0CW+9zZu%EkAv^fW69j>{y+$iN35X( zaWI<;xub2BSsGSRHD)|#hD2R2R!tiZkCv3H7~RFM=OoDZ4T)Oqu0plCu9#T7u}l6z zr?9Hx?!N7*GBY+=?MoZwBsjp6lw}yquLD_M8YPC`ZnBSns&d2A+XcIAUW6g1d?-c< z0N`9g1}ef4fQXo`yT0UI1$y`!tkXU9IM$Qz>;?zuhH1;gPYAPj?279h#@_+6J5KD+ z@KfbT^uh$;#@X;oP=!2s+qeB;i704)N34aS?u`$H%xd(&V9P%%BT5?o%XsM|Ni3n4<2OEiMn8ng_aht};%>1(!*mtXc>y(1uffaD|75y5 zD`zEvQQq^e<$ix-zZ4;f^ruUAkcl1nNKl}Sb!B=rvv%S1k#q_vKg0mia(V1Mi;LoC zLXTTF$PNKWA}>OE0_1ryDH8WyNdt#<=CfArH(1-DhxDDjf4dt1J%xIT+~hhifJ4ZM zIqhqYz~z9DE)VE~S8zvT@?-P)sNIIoudvCR!pi>0VAZ?@oSt<|h-6#Lc!neGrSxiD z>_ULnCfJ5F1u0KUqJgcaXL-Y7PoI0iS2kqZ2wtu=B;u%LZj%$-M_Z$|VFbs)!hQ*0 znVp12k$?7qV}x&?zlA!wXo~_ri<;oQ|L@uBd)E*i8Oex7=Ds8@sWmw7Irk=?u{MBk0DK$_E@^npv{WfMZH9IBHrzB9d%$;p{7nEUa`=4j#P06nt29m3eT&Y zX5D$SOkqNAS8A%WvWBMgxXS6ts$a`g(^N!YkwByC)TGkM83p=4)mc8mZp3pf@OAsO zmWKPp*1&;LORzjF0ic9bf;ggq0+&*lNe4egJV>cgB3AwS^{MSPDzgi4n(0v_8)?)O zSEKJ-Ubbg9g^GS9X>{kJCpl6!b4K|Kr&IK8|KXMO7noFBeJ19RA0*c#)|5>9&C6A` zhZ)Ty=KC$3=a|StyV;pK+Id8zz9P`^amMuq4R+J}jSsllbIS@fG`L6xAa7>A1d_LJ zKKF3!QUEh#YhXSe-wt?ez2D`LC)iR41Ne3YkM=%7r|U={J5V_}NgPLHEG!dO^6M== zYKRSImXiGE>W-s&P~Q!Wg7?jm@`t$dDnDnIRwp1OefY!iq|PCOU<-63><=E_G>%fCH0SK>af9zS79QS5ElQ(#b# zT9}tO9p0=at9j20`hSP!WAl&3lJs5ot9hpWR$!;!@5AD8?8OKEagXKo{~>YlEgI6R z2uasuEa+oigyGV>#mc$(V{ zq8bUL-oGgqk1R*1bIyHfA=N}}Pg4cgl41dFDdK?#HfT^XpCOUb5pt&{mC41Kz6*b6 zh_z#lwNj2M1NXxB%)qkPHyiR3x9p@$Yjx*gemj&*Kuh;Aa^wlzDCZVJtp5%WzIld> z<%!E4P35)PwSx-(&h6hEC9o-+O&-*(~M<6@<6M2i-QVTo?IH72Hub z5hsom4p)v-}HX#PKwOGXn#I~^$<%NWNQJa^jNC`$>Lv#aq8oex3*(}q|YDxs|IS1Pk`IgojtPEQ{c;ms2z#S4kdz76u06|GGPYW z!LF>9yAiB%e+z;(o#*L7G3j~ojMKr+I$M|kYJ{`VSOjk+#jOdd)kXVlt#(>D> zYLY7+@m6MOA6(KzN@5X_rDym#n~0!7MG>Nr&sjP_M003D03kbaYb_ddDyE3>II_o{ zW)Gd7FAc^mSz4$Y@$5flDyWeP7U!>(&urK3%9!psjfMz?_~)C|R8qaix}Pa_m4SzD zkj8e=e^))sEvtRiJ)+yj-mcr#YLIybWLvVNRO{|cCoDV1v*d8M$KtsoQ+JVF9wJj5 z^~8c}`P4rv5u{TCSK$RQY8$2P7<-dyDs=>!>Kz${`Z@Xt(B|Y>lEa;)d9R}1A z_%R#gw5+$g$Xqiq4z3Z1|2lzZXKa8I{hl${9m}jQHDB5E!seF51# zAe4M7V7Wu+rh$2ci1!HeCyb^6TX4~n`{(+B;B{ecN5H%FpM?G8Gas z^|!ZAC{@OuSzn){Cet^*N{U9?>b!Da<2h^_s}q>7{eR$L=ai;)RmX~A*<>SA#^nmPzOt%?ta~L&5-?WdkBaVhVO|ps zDGit9``QeUv3z*6i{#4zqi(6`l9tIWZF^^Bx!gs`CUM|MJkB+#=%NmDNfb^Oy4Atz z3AmlEkU4**$0f5M*=k9rYB!*3O+i|NfUipQO@2JKdRC-36N1C|{U0ZCt_f63QkD3f zTy#?R4cdhk?5iOh6lpmY@>a2|y23gU?$jRzR)=$)Z?Q2wmEus6CYYBWnx#3q)H*pH zT5AXiJ{uS3$60zCqaGGor6A>0bQl~jWowfVMK1rVnuSjH-=-mp2|!C0ei*gLE%W{E z@Cyn$zw((o_-WG^nG~&p&yC)A_^Y|X_+2HxR_XJJJ?Q!kRvS0-x1nJ=g+yfndfW!F z6fCVTD?pj%ITk=nm`YKHn|DB`^iM+UlOQ)u2OYmS#@&QrsW@meU+=xTP6m5?U zf>|xXz1E0^p-G2M>@9`Jy=Jgt)H$EO?WGKuD%MsjEiFipDp@LQ0(XF}GqHqDy2Wwa zb-yTGZ+?+!dub`(;L_C!@tKDtz=EWZ)?A1IDK)dmp%vvZho#*IKsZ9y!5|r6i5ij%8 zdT*ZWfKS6GEPMUEaRhScBSKhL zW=I5qgOpJ;;Y!3lV73XYdjWuOX{%d=i73M<-hBrYsdgO`LLc5f-xx*P<*UDnD;J#! zVeonQ9{u&?X^E6D4ujQ+O%~<-23S2qfKcEEuXm@mDl`f(jqfN&d%iP{hEW%?E@WvW zy&^cjG51}kX6W$n<#TEZN6=a4-)fle?rb$@AWgw108(@9WtdZ_)(Zj9-su10HS@22 zc2!f8c7gV+iM634xVOXfPNp+@$7(EMzcy=YDfI`->KlzcNNii9oKlzDQCgP!a2^5L zSU(biZ|9wQy)4=7hg~ektHi(GT&X(7W2AsVbe4$saMcImcA}>70G>l;jgk|5~hyan> zA|-HrE@GyosZFM7Zj)YfNjkQxT#jxt%_nA z(b|ORCT|lRXqA22kK<;KqOMj>~rT)xu zymN~8`dz|FS27bUu8)%4^#1687__FF|8Z&_c~9@UXb;Yu6X&`$B7&DvV%At zwsF3wN8n7!*BfTy`(bH1EA1(u(Ad*6IY&!-*`Lzt*dx5g2q*r|Fof~%`#WirB%`oJ z!u)T0MELhXd!+nfqIyDbny8JY*`i#J&kHw=a}r;3llG7Ar({xLv5KO*9PQ6QfWn$x zt(;h^{$}|ltOiSRt=zVUw@$CA$OPGV-rX@I-S`L=A?&$7`yy{c>RbikQDAM6~q}xsfReSie6Rfu`af$S1p?b8NAk(_FNsgvxreZ0f$V z=|mHKS&Q~Uhw<=K$&D6DN7Y*`5wpH;B(E|BznN{CJk%t2x65uql#>L#O?WuCHZ1Ic zk@F+}OX+p6-%NE@g2p%5{N`0mRv_fHipEC)2j;6G?M5avr)Ioyh!F~`1DD*$yc3TVqT`6 zU1DF==GI}-H(ty1Pkrl%RVPDxvw)vu743KDvxMqL(5oaHghOQSmqw1>f3AlyCKBIG zwO3||jX)AJ#|R(jKIFrihuUh-wO?ZxDCq{vq?FEfMG5eS7ZANk|iP{up$tV- z8?MK(q>RPt+(@KcT-wegPvC~j^dBxgtl+LxP1ZKQj*-Vvu*~ukl7DR#H*itf zZ$g5uy|MpAl%BVG^eaiT_GfZ&F(8kU^@$OK4&fGx&;iecn9Yam$dNAnW9fb%W~TiW zP~Jl6;wa^!=2gPX&P*CJw`PU!tMbGzcWjmh?akENvpeSf<>W!raa2hC8o#S}z;e9QWLMgJJ&9?C_TkaB<5Q~UVjOb#k=@X{^kJ=qj*(>d{0|zv`?ESLUM$~pB83k8x)v1 zLxqHJlYX>upLri6F>LDtrSIjrPklLC{YkH74qeR~m{?q2$q%rQ0qIJ zbBPTqd^B)M$cdHN62Cq1%dfsz{um$F=lc?}tMP+i}c%I|zI3$be1FCGAOXdeVKiX21{^USU+oEt=wahjDzAl>K4kUw*m%bJ4KfI>TkPQ=m+;%- z`jgwr*Zor8R2vK?r2PeZxlp}_yh`1E+Ij-Z9jaB5!0=<*nIft304T9-Y|>ivH+T?+|$O#DcXPg;g0Vv$ItPtcty#WEXN2L zK_1=pzOaY*<@1(5hbmQA7Ub>QZ2EMb{=xh39SGlh$#2}$+nwxHM2I^x$5|M)4J(|j zJ5@MmUXUp?opdZbAYye}T=~=FG{)JJzYVRMc>O`04{J79Ru=G!C0RW)%4G6UZPlIr z)xGO+yn0s6k7y9VV5MlQjX*cCgTJl#2-}}f@ zwEZ-B(;~16LVwyttf&m-m@dd(-3Mz+$ZJ?euRT>99oDm5 z*>iw4**=I4t`pN=6ZoAI=TvBK($Gazp%qqRT&=jFd7r2~?p)tY{Lb02?$6c_DCwpg zfe&8fy6sys^qJnZBUQBPZ>h#l=KO@^G8SMiT84asK5g1;@XO%R-y5Fm?3=H+&0_P; z62HloVc>bkhVPlgoxR5ID+xiJDzlztU(uwoI7bKNnPjIj!BE+v$j@-Z6%|!8i3Ed# zY|9m9kFkKJ@0$1j&=%@B@n!LnGLtG6)D=g~-~`0*?MR)Du6O9_%G9Sc?)Sn9cpLLF z-s_oXPsMe(+wK9M@tq|D0K==FBq^g4m3Ds$QTE_~h4c7N;;Du4#E$-pukbV9rljPad z!(F_8dfK6(cr5-L1bb;Wbx6@Zxu$EBW13;!b0sQ8m0uxwTAOI;-9o3F3nu!=*Q|}j zs^J$7%_m}RRP&i!YSH}oOqibY?)UemQ8mAmP{C~MA z2^{srH}2gX{+6tXc~O0CrdCh&j#HHMrZ?0KYDzVIQ>bPZQXUddr{TWREZb2S0ri1L zUMy76FF*DSgkkXXSg23(`Ex)rUi@IC&ED#3WeznfsfUCv4-_xtuNK;nUSWl--JQ z)41aN-4}wOC$v|(kKOYIDGCzq7g)0eqs67q!@nYMM#Y~y?lteidg6@O>=|TjAub?c zOxyrZ4pYbDW?e{vpcV8M)ru`=6+i-s_~hhQY8x7!`p47jRlByU^@)8c6L7d5z`K0G z^yqC+?b?uc7PGPGIu4cIv2j6zb8xQ?HoZ^OTGHvXen^OO1@uF4^pCBx?{3Ff|E3RX z8Lkayy753BSS`eX!e((dxEM1wz^pzh-kd%cK%~fLalzX(N|L24n@9ujzdgFrYz-gm zFR-k{q)YhX0}8-4&EdaZD}9aQXz_vzuYbVm4CRNvT!5QY*I%aX)qyOO)?q>#=t58G z>mYG}!}>=Si}^`L_wDyqG3|ERFf}ky5HcqVZ71M%J-1w3YIk7&5BW4);rQ=1AxVyK$Fw6(d)R-?x zVq}r}O}*-bX7ERK#uln&XNWmO z;+o@eK!!~Z8~_I{(GE(5v%Ro1Aog3Q)(>%gYC~F!tcir1c#ODmg}Do#f5=Rej@JSi z_bPa>WV&-5-(KDm1I%#?!RZh)qeVnWKE4ZJ<9@CVVg^1_Ztg}w#782%ZKIh-eKWn7Y`5vk-$oc=fApfa{j`WH2a966)c{bE11spOltZ1 zTgit0bV@b8ElQ+KIPf%!4Hfu+lcjRsrV?(IxWNfC;Hbsbs{dl08`l4eb$)q<=t9*# z%!CIYdkl{~hc!T&2erSRefs^0r+7gJ6Y}60`FB#_CS0zlihwr$aq$G@5#VvLTJY@` z`O)&eRPcs;Bc;4G2o$J7x6zyn>qHW?xqJr(VKFGfcQ+$kz#oIup{{eS=49kwCOWHR z{>LD62*#M|KDl|FIrK%;$IXY4yF}3=tL1}K@>uz=sDn?O`W%e<9SL-NAAjc_X*kR0 z9t6KP1Ba%q>*eJhH+&t^{q_&cbV?nK69w^THj zS(ig-?2rlujTAVxUUWP}A+qmS>^9FEMkn|b&Sf-v)j;;@epUf!i>@rr2<%^o1o!6; zu-wh1pZ}r~Tn`3V!-c?nNabotC-?_gcL1-)heD%L@;xTWq0y*=8lXz8@&pMI0?0rQ zIs4xYAdsl2p@t>$BFm4Ud7K>1r7DiLPSvZy*imHn7w5OPs9j<^pNVfS${F2=+<)dB z4u-pMG5C&^LY25!K^386qq;b{diwS99-hoUbef?>skkmC0h}^ zEZQ-IEH-OfO^e$ni%G}L%G$4^HeX+kPoJ%Q$QKAcN2EatcobRvCrbfIDLI!5Em*as z3!7ktmD^7!+w?&AwI4`r_Z`npxpZd2~G-#_UJEzA2R_qCnPTA67QA4lvYf`RslcI|qD0Z`Wj-3c^Z_;a! zgfXQ~t-9e@31`>wIA0RKny$33&7;LNxlr`8RuH~NHJS~m@=Vr*`h@C0Z;KF`X#%z5 zw&SCDEw7g>i%XBYa&YjjqdWy~GsEy+=fM$Ni2l0i{ z0fPt)j^;hVvNChtNd&J8avp>8wAH-_)C#+eRxITEFClP} z!jOZ=kLAI`gtDnbP9m`*hx+!n*D>E97enLG_nHUEfhBD1AP^)>6;kcD zzXy@&tq2lxl3n_g(f#05@RG7fxl#pvR2_?+(k;^Swhm%*h4;E;x!L7#t2*Zj6C&>$ z4Bqz@C$E$(z?u8P3*4k4nb^JIaHwuJb1@COodHN>5u|CZIl*ap3W@350avsQBBAiw zEs^kGX#mDI!o!J*xcv2~2>+2J49-Z$2?E^i+o=X=se*gIiJmV^x~NJ#tkGLt3l zvtXwX_GjPur@!|dWdwg73&mTPEd{L~oaE@PL@c~X{NwHT^J~g%zJHX7ahZJ__%v*v zqAy_AjM7ni~~XlTYH-AJ) zvwX?CZ*Pz8@?-gYYIy$72NQtYcKrD9C*rin-?NNf5)U99xxG%adsq6^dyH}Zbrz>- zmSA6#i|p-le+vR&n9^K(`vT+z`}#JZt`Jb(;r-EHi@28*1Z2K~eLY8z1`M9$1*lYi zxlx#3he(kU0tbzCQoLCk&73o+Ccw7^mQfExu=wQEgqqNw)ybR0RLHs2hX$X+J~eGx|(oG3wdW&NXo~YG9MR5v z&f`0EO*g|{{h5*6Z}wGJ&)Ey+Kw+KyGI{3t_A|}ZYuopCdP7uq7GB|8+$;kR<+g;= z>sWXzmG)heG+#J-G4VryqTC>*xhGYtDS<=H+SAI>0i9^S#?yM&Fcc?*>YYBt<3@hE z6#SMvQoZ5HMLW6!>CsF{$z@1*SJzwf3sV=PZmn`tM@BdPM`2QQ8sYSy?h$CRkJ>uF zky*(O^5rdS%S!W=1XS)!!n|tX<9pp2ViJ}2GE8R)A9OpG(_oXt9Axvzm+k=eII!OR z9inObn`QM2Mj$6*)S$gJhJ(qV?@Br|hak0pPyd?Caejo2i-*6>j4q#IoXq)){InolmF%nVSo-#K~Q4ZpR};2?&CA6EC{ zgmbYG`VIjg*o_ONXq3TfhhNzl%!G``6ZG$dLg6yPrG9@FwFVQCfG{)!NR!_<>;h*# zg~U%@a3G-4tgloVtz|FRcs+QZ-g@9gl)rE9>y`oBXyva_t#9br%=@K!eG%LCq#eF<^sQxsz8fPeH zs`Uyizqwj8sG97VSMrKEDgtqo3x0??Ce`Gcpvv82ThEPMek74o`?}&q<*ZG-0Y5Fwr32Cyx zaOuUC2dCOHrPk^TWbBzWQ@BmKG#+FQ92x7o_>==-&6N=j4RsIoPI09@bYokgG(i;> zC1~-(*&f2xQSL=-Od0VuLG%Cd(vW9x?Zy>d-*j7n|B^M&E zyTM95ubC*K>^MNHV@m+|Acc>0nxb5Lfp}Hb8`m`gisvAaKFytJYSf+ABtGw{URH39 zW*785uV2K2zWce7H_!nyp|N@us8(x=1Au;bnLEg>m^l=g6o0N?Hb)ur#i;_;Iqd=1yry(k6jz?yI{zBWzTRkt2vdK#JfLK z7nV*gtRRF$a4jvjo4!&{RM%U%w~rbHFmj?+8*g{4O|d$=AtV9TfY%EO+ygH=+I`Z& z3g~7NBQuFShT~e1W}^Pcnk^2lQIArosH`#+sMOay@eDaM5C;#Yw2&9`P<~A|NZ$M_ zDcd->SJ@32^L_E<=7v+YLNn<{m$uM2_vrZkIN7gczOi z2$VH7D?pw)o)lsUSr+qed<*n)o+8{kTzH*3XncDf`Bpk-4|K!zlxYc6FTF2zv#xZ@ zLzj3#+=&f)OZz+a8xg8^3tD}5p6m`y_j7p{&!|(A7}0-uj~p}MXGxGiK`!~QDGTv_ zSEm|9vch~^EZq;nZ9XCvqKW`K%CxWfE|zoON*5@CYvcEh{dCok5A!(gD0%gWUyGaxQivdglzfANpT>uzh)PsO zOO`*w^0$8x4}x|9$N7A=`KRQ7vtmruQh@gO&(}tm>e(HrbhPe9Nc8f_i*_ zuAEe}-Ye-cTsZ_3=hPw@=rA#5&lT+se{ION8#Yk)f`?TpvgN5ynEchgS4_LAwPiF# zf2;8Q-fFkjWoS%2vitGKSHJQ7pQk23LRf*F(vvS2iZVigk$O>lu|Q+FsHl_Y)a-JU zohO`<+D-_@^4LouQ4?UrQt>D|xZl_<-JyV(5(6LmO-Jh^l)RUH!i&~v+^qa>K`OJu zzzv^lZdw^lco3xw< zT>J!BZR7v}Y}d!4Ro9ILk}f^;xh;Aue2C;cx=?tr?vm0MwwZOm?ZMGXi|4_$>u+7R zeNr_s-qTe{Nmi9KIE42-?_I{To#_vCe6d4H(A!d*6hl=TI)l|=6Y|g0NAR~FRYyig zF%Vrv+P0M|cMb^)`}_OC;afij3lVI-*XZ*xAtK3l_dN(!kmZkMwb9;;0wo1~zp;n@ zgWk_fF;#2j5%)Vn$n`f|&tw$#nUOijusw`(AVd(^mQhr)*5aspmC9(gN#%MnY`0Zi zG#&2<#UXE}3=qaoDBkmK>hx9%tTK+5i%L4sKgAI!z$t)UImBIq}C* zI%;|XrrwoDKXApGP7m0Ccjk-6cBqAr_lvw@#rpXRg~qoO`hJy~{zMk;fV)AmZI#RA z8p_|BYP6~r?pf(jk1>NI{GXWxUp^+!iV5j{K0EOo0m1$0kZVDd=NGx722GBGqXPO( zt@nsHj4X0C=KpCIg1PZs@{wDgjx zV*?Raq(F&mrcso1$xyV|B-LSry{rb16 z2!@Guw!xf~&-)@7dlDsA3X#r*C#Q8Xq4i;3nNsHI*ag8!hY05P+;`VrZrVLRS0r-q zT&Y?Q5r7+tHrN49#a|BfA>_(4sVD-U=GU8xi2F3R#ML#;&f1snTOSA~! z8XfUafIM`75UNig>`cMF(Pxe5_L&{>BdE6pA1-{}UvIS~&EE%Eu;nY4byrIU@jPBr z)!7-TbiG4^(klRN`FGL0N-0wGthQ}$k4s8l_OR~#+cJ;z!faOCna5~w^^-xvsi%T` z-z+~Iyx=ynbExnIT+MCL1k{jybdoKd1q^r7v z#CC8!vMALlHwSm}mfcI`Ilwb6**r^~MXk=73bm+y$&7WqQel5S3Iq4H!XTAimBPmTHEqoAll!v`#zs5g)rULak#^};qczJV z6^+tgodl9eP$93*rzpwSBYAWh?BY=WDYH;h8-5JwXsL=+@sGp8*(&bV5$fY^=>^+I zwTREG165Z5_>cL&3Ok5Uh5Z`+Y)8ub%Vke<|L~s zfjv}_>!7b(1oZ2Iqs==N7W75V>vtUGzp&|4O?xzOtJB`1^Vz1<>aCntWU=rD{Uetq zAIW&KHN?3TIQD|^Fp4YSHKDui{Kb!w27|9U+IwQG>kcM;IF)0S3d=>q7YoMs`;5qe zOgby2|1r;dm2Fj<*?R|fakxyA|CU1jFs8dC=@{$X_rjj~?mjv0{<}lBl}B$!$r`Li znC0gpv3ll&`_aQmZ+~10syL2)c!K-nK4X*HjgSDC=Ms&59jQD6{9<7J^RhIo~u+DgNHxaYIJL%XrJ zC}2QPAKc9f?|&P0$upyb*z|EXy1l1xPa@u^gJSIN94Yq4Sq!#ln&A^*bCWDc(W70G zLlplGTl-`ExaY$f}WS7Ctr@zx8HYM8mG?$`-&%jKe9hukrAsvpdg)WKU!-F{;!#4*U@Wn z{Klo9pEu_|Ltg4tYLfBPpCJyq^H?~Gb^%uLiw3Nj_v-}CXb%Ek7EPFATx4_ty5qaB zg+fcoxB{TfOpr_={sm3<%!%P+9$%_olsPjvag2p>hy)QXv-Dxe=-;hZTNK^ykv$35=-T$|Xayq{w4x z7f7E-+QSxLr(cTB(SnI2EB?EKA#&&GP2XP4^t7~zuV#Py)S8s_jnm*s^`yL|4Grq~ zIOOklH@`T)DVRG7*SkO+s^$pE+6z&)Hf129I!(g(pfrGKN|I0yu9vJ3Gp@hfCS$sC z=pp#m{H*%3}7kNU1Z4xS$juscDv#X%hf2omleq}sN(ChS5 zVL|;4sL21P|Ijw#+Gom}wwnuD??8hqALqY}3;Jz^QSJP?ZR&iv^ zQIU+%drh{+q$fQ(kHlwo3|ilBKscR&X;GvSvJ|C~RJM?~96Uc2b3T<*kO@W|%OfcZ zE@A{$&s!c;zw71swECMpXg_xT2K>t-ViVDthu#mWg-xfz$b@{#;tMY*F&}?xq@MUV z2n}^hUpu|q|J%&|c&4i$#e(g+yR7{jEY-QJRg7~rUT~We+iJ0zjGfSO1l`}*0aGvf zA|e(PtV1cp4azq0Wtt3={Zo$@u519oYG(j67sSf^wK)et49Il6i`N}$7CK7cx23}* zGI~dx_%Yei)R7bD+{V_kjCUcneVNOx%6B0cJ`iJ!L}FG3`K9a;;c|yca>j8wbk1!L zLYijAmH+!|8zDkxiB%CX0S8JMF~D`VIh2hK}Lt6%MBXm z)S=;X8V;1Wh=z(W$J3j^$%_l-`Pd3Ll+LI4SRmbbQk||@Jf90|)GD|mvlQ)n3g|>Y z4T;;Ls`R9c`I`%X`CYXC86h=T0~dQn{o5ucaO#MDzm++4%Jr>dEoA1;*^v?P5?S zqEqoC`1=(NivKR}wh5jA^fAVduVGfz|v zl$!d$m=7Z(i-HOhc>j1Ih%WX^MUkn4#8;9=TWOQ7`O-t~@Kk`o7(qeZ3BZmYmxq3i zAQ%Cjh*V!%Dz;h9^#_m=fn#9?RSUU=i&xwP*p=5@;fD(APs0t89g@g>e>xbx7KyTV z8cID7I}8MWM3TWA1Ya{*ie`v{{5^;fzf}@g^2A{nys{clA+sAKxAl8H^4TIKD1YITzveSk+7V~qrD^~fG)-}mmppD;ypknu_ z=X}zh51}sk`;|zAe_euH9b?*kN*zvuxc|gkp*|3u=(%}@MSRA z=(d1C5uz*z4$JWi=ouI+VL|SOkXd5NpGEyi>ce=RN(*#M1_Ww48Jz{9P|e-Jcrxh8 ztgW5B_e*`o(kbvB3@OSv;eOuWhtyq!p;^D%K;S3-WEIal?=^FCq1S0QwEF7E+K+C3 zuMDivk%-pIg{4;d@D#>l88S2CJ5!97Q3)XvE0Eb-(XS1Pr(>u15XJa!Uvt#X=G?K`G zM)2dvDS;|rqS1~jJwOxlp6{KGft=6gYn449E!RPAe23y(C@Nr~*oz?Y`B_t2n>7vV za|GiJ4)Pz~`h92C;RTKtla%=Wxy$`P!^Bm9%(pzNY;Xmod}z~64@Hib8P}aUk|LFqp6|3FW3&a*Y73m#Z&a?BV)NuO8k>8|PBuCaZb{w_ICy><>r$L<3hgZDDmF4RZ3yN}?q9)2A zot>Q;Q;A7QboBxf588qtVV)7(hfPL(YpSSPMVk`zb9Q#lpqk0|$STy)Zp?mBCA@mQ z;S30N4}?q}4g!XLX^FOf#E-i`zMOCf!+DXEqLf$B(9?I8FhomWpz~nNOOJe@j1e^Yv>(SC=TQh`2wWFQB;~0z+eL7m|lJam0_5 zS)^;TzhZ!#A5P*4nM9otpvqI~RSsoiNBBY?=#ugz6l)&WeaA9S?Q?a_hMePNb`;&E zzrWvV#3-u-#BG98)#Y?01#^TGO3Y{(5hndG+^H_)lNhPt<&N&f|1Ln7{(=a+ej}f? zK9SxXG>-E+@NEh+3s!GWoLn1ox~T!PO_$WT!r;D*y)H9y=!3&wjfx|EWxm2_J#L4T@}z{YFM7#{pfBiQAHwj5bk50{ZjD>)$zQwIwqAc0D;}=jR}qNAIU% ztTD7qNwqWT7q{BK`r;bQtDHE$$Ad8YQJ8S<-9HU3t#>91c*0^XyV0K5evR3GlTuIJ~ zlo~9G+HtJ32j8+kXw*Oa*b)X*=lu>mnbI8oY=LFmL>eaIO+y)NHkvn)@hf=ReT7#L zJPbB6aKBD3EG&Z9|kSg*jS~m9;rHFK@ESFHp5@ir3K4Fkg9Rj-|HW{op!z zT~emKZ{1~wc}C|q81$_*a@pL>Y{TczpT8A&q2)MlJ8qd9oG=<=z8Z=Nt5}s?^OsdC z$L^S+&?Pst#2b&VYi$`TjmA++L#GCA_w?AdCMx*chnoJCMH=Wz%ZQ~6^|27pokiFc zc9&Nj)kMVkf3&>A95tsp{_6K{fXdOhbk-)x_1~BESeCa>mvkXWk=YqDT@&g$jG0T< z=V2)^?4MyA9W%>$cfEBp>`6KOsPp2-GukfFIk=*@dm6#CoS5}$yFF8Ia)BU&@EK(4 z5rbZ#-)hgrB}+P-pf6)kck4@Wm9uB=|{9Wt-W z!;-TuY=BF$x4RK7SBTe^YXz!r9p>B=bqT~?KJ)j!BIvfu@8^#wJxQ7 zyfu)OF=GDjhlFq83*<$6RxY7^S=Z>Mt*gDX?oP7GDw^Ua!-9DQ0X-rKx&qg0_#4A) z$TT6=R}ca_WM`K`K?!^Y)$4DA38w0v>-Gko6FB_+xz?0BPq1Bh>~1u}*HvX1@9*8p zSEEylqn@oqT|h>&?)Ld&OxBv~daB7dNgbp093+~y#1)_f`8HClEiGR`cb_w0#A>bD zp!)26pnXa+C&NQE1kN3Q)1AfYcN`oXye>YQPS~8U6lM7Dt6I6B2#1V+N2qR39L& z+#mOL(XS(Ba6oF{${Y|A^|0+uQO##P-QCY)l%?s#nT!~Uth7WwRe+Y+8*tq%s3H<+ z^wOicYX^)UlL@)uJ_wqUW5@3D?{*?p`x$A`t6HvV-O*<%QT8Eg*QNc-obqgVugpvG z(%@rYK4%n*>uQU#R(D&uXi!F2?*Iu~jjpvXygC0pZayARj_l^@g}Q5xzyFGz8^R8H zI52JFo6b#pM&M(~4ZAZW0|VZ2{l0PYFdSB9wS(g@UTdSN@bvKLXyZuR2k6qf0YrzM zYF(AcIbfHjGQYv};4`c}5&2(X6Mbu$pKbAmQy`VHL3`$v=^#M#QDJV39}DY#pz9!Z zYuLwW3FDBTti!$^1{V2pC0@rK&L6fYi02~8@3ryt$=VJ=TYvU7#!HP$de|zu2g>Z) z86Gsc85I;%1%AzNt%7~(4o6BwXD(=>&e8Qrk=swES9=|xIiha_UE8j!-B22Z{^RGI z_J!djAN#sR;QK9tK-$jy*s<-P&rxZ2-r#gwv??$z)uSh>7}EZ`w1jA^y$5gMByR|% zx%{!GM}?#)^IIwP*C?i`d(6Os2FEw;bGX{>nLjsXNmwK-UZCqoJm~yFvS5+Rgx&8Qh z^DxOiZ8Y86YacrT?&r;Dotd3iOaB; z%-3KNbd3Cvv4J~iMN2~4#c2DtcY-f;) z)%>*rJB8i8zP_~CQYOZcb+AtA!`bYrEhlzZ1)`D9{uFIU>|{>FtylZFejfBppVCyF z>*RxXeS*m^&HAx8Lw)^=h~Y^Dxjmi^sQ7Ogbm#du76P6PA49@|{;8lREoXmSmOyqj zqtcpHTq#HiQ0kioNvh-sYPvfv^SjHFiUF-?VOo;BoeQgWY<#@JiVRGDCy)ehcI<^o zc-x$bUk@IodF>x@ zsaV~A4~NdS?z==$~j_DV7(C>hOG8hsla=cBhy8@j`ZR5V+^!vA~HM;jERwrVG4xV5(-7_ zU$=!sS{WO3h_a9O`e!XFUq^xj6$ux;r$=qbtie|a=9ZS9YrmmSmusm^bQ0#uLqLh9 zg%N7$E2xF8{ZxscD#)j%h;RHitd$B2(}uA9hAxS9ELdUqi1kpX`fjsqLfiWmJLioQ zQk74AP;gjKKlDqHUDMKVPw;hh$3f5deeFvSAqVl>>o9uSccrXs#e93V)}~Ncut6Ae z<7*X1j$Ek~uYevh`Ud&~pI>BLwt3;~%J$xz{*fw*#8_A)w0Cqk$E(^}j(l`VOiZ*A z>(4foBu89gDxZ7*IGq$79i4U#OU;~~Af}|Gq(w`BPE2W!!mA?)wR`5NFkTA!j8E@& zAZxH)TS{P6cH{3gvP{KX^{>j1_(Dg#>bdncjNH*QF8f>S6Ye5YJ9yRIhmvr`pABmXzoytjz z;-@slJ|hlOU)Tr{2`Mesm=6E#PZ5(YA|OR^R%DUkLSEn2*7ViSlXccZr#`(xV{@RUI2&{C+1dgBxB z6gg*#`6krbI*r69(x-wI@Fs1YxcNxQSt)5I&Yb-z`3}HB#%Q3LERbJA&~cu ztG=nFPSqgIT$}ABuu_m>Wiac=754Rb0%=|3lq)r8bG$Lp%fll9LARiXFn@TqGnADi z^c9fZu?w>VMjoNWRy%P6+d0?S11s`@ve@>zEcYu25YkzpbL7z1c3ySP`kw*#L^`_O zAQK}!+qhr>cixP-YpJ#kJ|1E#!VZR89f8$LIBfF)x!NGm zh%=&RhVt8J7HTR24W1823nJa!Yj70pYnKZZjogS(W5Y%n9RdEa}#O> zuf3oocV;vSE%n|GSBaz6oKn$a+a?Qm<{YFPb>|UDTx23c9#NY$Wcpch?t^4WwW*R)$9sns`W8G%X1HVN(tiNNrvU){`RjRnq!8!Tc4 ze&JzV!Nvv=;RhsqcVA}P0y>YmMOHFgZvKFHOGP zH0Imiz1ti*lN2hFbV-mE)*|XpwF|>hQ?BuI?EFLF`MhYMFNY0O+a~)7ihkO!>_2&2 zUn501STW+ZhwU9)7i_R4N~(F^Q&LfhS~C45pz>xcPSRAX6+J(pwJbOVM=!di{^lbf zn&Uw&v=*1pifPA8Eiu1GarKSIt~Q2la@)doH9kEb&rrfqE(YaE01GQ*ps;$=JOYE z#cc0hNZ9_VC z?4}xVvOD6Za78DO8Yp2Vg)mf{0s~z@|F3JN-&n!aH&i`u{2;3=lg0kwaM+SpH3Sk{ zjy$UyJ`P)D7RJFheA&@0%>L|%mAt!(&zYzyJw0)+)@rnqx{9{n?`-Qjb|ay~70$)8 zdo2y9r&1tZ^JaL1ClDF7$;p)>+m!Qx!gOvUKdPawK8Tb=!MZBQ)!Q98B7=yPIRnwk zrdyKJA0S>W201%lPJoiSUljxyZEOI8?VkP@- z8?1xq^zBw+d%FzambXqXE4%}Vwk?0ZD2>`zB`q#4u2}>Fv!-YJAk%eiPQ5-o?JxUv z!~+=-;rx2_*6rJuuZYn6lO7^BDF_C_Zuk+DY7G}v5-ax6Tsi_QYk5(P3 zgtDqI7qm!^grpl2O|u8Oa7DuWO7A_y4%;;rEYv;RZ<-}m;YHff(a~XUVId7@nXwCu zt>!q-gK4m2=1D2Iq9;M1B5m@hQwI$|ax1PNx;6RKfp&`}jG^|C6^t*g{gv(so$Y8J zhq_KwMhk6SKbAhysgR4JC5$af+gc35(lGVK`vAQh^QT_%9jzhw|)WY+{bNghx40B@7~>N2arCE6zmv@e|NuhVHqG4Z9#-{A0jC2tepxk zg^Ti#I{AwiFG`?e)~N39i~Ih#c(XW7-crOs*=#ujbb)*%9CPSn3ih>o1XTT_IF|o{ zKJvO`-|{oYU3*c=uj&l(_cx=ggkIo^79({=pywAT#$qD~+q(>Za{)LmFj$K0AF8Ud z@}c9$)9&{~jj;u!~M->ay2 z7qs{I5hI{_9yxO43chz+_i_d_I>ZB!r2#tNJe9G*oNLkmrd{4Y`4a2p%LA?SoHhJ( zkPt9Gdep6a2!6HaE6BUQ0TctNFk8h%zUKVp96o?9-&zQIy8eVNoq!MI4eH}pqFT>z z9&x0~6pT63AFWdXWffN#OjF|8B0(0s#OYF`NZ?Xt-hHys8jy;>fSCa`uXj}r*gy3u z#DNT^fQKOk?|oA*rU!W3nD{SL`E#ao0OuC@3W4E!rc|~a;Lil_&r!x{>Xu-Ue0pw0 z?&k$`;)Gp@_0Egxbvf z4IwG+f%!Q(0zc~r%F4>#lV1+E(tQnYGu4DxiPzTjvIU%BKhgp%=H1-fLlBLJoE0Bv z+H8{Q=I5lHgCoFrS2f7_C@A$!qpUMEgtx_~?ATX>?=oyPS8bTVVNd&PqAOR z;SO9{ww%PZuBHZ{Y^0{Co6$57L>5O50Nl|FFKr=Oo0%)e4H+DSDA%He=P^J%uc09o zRRtd4_PI(3aE2(9_}0vWZ$L6$T6o8?b5Cmr6tzG{qP3;z3VyEU7QDa)fMQdzAgkHa zw#~vi!{tsiZx%*TL&{z=E6tV+6@NsA1R&w}>m$bmKjIG1NTKs+8vqZF)dse@uAC>i zWMRv9INoE~+S`veKHaIEl9oe;c6wAZZ(8~F#w&Phhh)rKb!cN4AKe$1jmP83x6jb6 zZI7Pe2vP4s(#;%QB*Zl(F$DArwGSry9iWV8DwPUE0HOCq4uo+Ybe(G-TKBQXFn*CC zzrX00zVO}2IIrPO>gEUatmLZt-ZLH=dls#s_4f4K%g;BaX{McGbkpunN6+Q!GmkB- zUNV_QpnZ&4>$5An5+_+y1cjqihcDcbYMXoivn}$3M@RfH7PNmAMT-_Z1Q_Vja*>Z0 zbokG~^V|Z4g@!IErnw&4Dm+99C>b?-izff9IlZYqD6j}sE_FNFRF0ef`eFYJ=y=MJ zm;-b@1(n-LqFLW+ni~KGyX4dQqQ40AQC5|=CyQl0a`lxFqX|tV+TSBa^A?k1QMVTB zlE{)fQ`mCa_-BA?VADqM0d|okmyjs{Y8iqvVMQytSr_e>iGFoBrZLbF&Hj zT&(FDYEyMhO~Jb)W9M;P5mVK3r?xDUX^sE3Mz+xd>mE9MQLL?~XGM`E)k36I6;1B2 zMp!R=j90!YB=&GLydKWGmMLEV#Pc)i4AlF_3wF`RQb5Wn0-r1%Z&+FjsBUoWfq0+u zdZg)g^w>(jx6wPkSj7gi?wlsZby^pDXg!}R^gN}fok&=XXS2sT7_f=x=~4|!iay;J zG(evfWY$&(-Z7!RW0ofHGkR9jDb?MDmAw6@MyLf6MX0Afp2PUD7vI~DD7>efiptVH zrEuqV3xo?-8HQf`Cx-DY+W)Aq87rwc@Jw17BypOfE}*8Y981?_RuS-((MnmSb&M!M zG&VH#3|oGplMc8HI-LuHEgJU;5b1B!jD9?Q9=%x(p|0j8jq zI;7mWbFZ+lnf&fUf1}5m8DCqm_A8jfhhr(8OBm@UOHkUq<3+ytU2EAhou`1U(z{pw z0uC8_s*i02HDjdA%Q&0IvxX)tZ!3dLGY9njp5K;}<78vn|MmE0xAKc}i;0Orq1#?b z2?To&0ST~UKiKq@e>{RQGw?q*>Wc?>tNO#FBIY1bbpit5+n$~~y^q$5v%ev!_i(%9 zvW6TT0o_p0%xEqhhpA6#t&W-A?4}gS^>|o2rwvz>Z@q$Zu(aF+oXSM-{);K!&TT{U|oN$#C4` z2>>3v>~1n7gc|&bAedOa{n(>_XcNJIxob(@Z(5X*%(gozDfdfD6(yMj@@*_kGzx;w zkpeL%MGAJ$`KMJ1^FYCV7ZFjs}uqGx^oP4uPU;*RHK-UAA2Gs~;f?5?pZW)^Fuw z_W0PtuZ%_>bdh7s?_%1<;N_5;9I8n9F2S)m<0rv`#N{%9!{?V(k#eK49nC)o{SG%E z8`PizchOrVu8v=4UOo|r&AEm+xiQ}sm7T&O#c@~ zR~4j1fCKE&MxobU#F2V>wn2mOd3$K9_qpZ>#-z?~0hSX@2vX1?&RY<(XKkc%UVI0+ zp-l)30fO@CRHXgm!Gmq&&zSZN=!+bgid6hjpcFU}1GEzcqLn%<8HdG_A9PZ$Vz1&t z$<5;AR|~%QL7tV4_4AKh!~{?S;E{+)QIPBbSt;BOU^ zjw@?%I2}OT3W51fbq!2wl|Llh^-+4sgMxo7uhMk5uh{ z>(VUkw1wbb-}d!whr(P?&;ejQ89uuzRK)spHJ9y4A07a@W9)?&zvYH z>A<->5`b`!1BukJkNeg|U`9z!9v*qnBJv^#xWOi6v2Z@VnEoL;{KyHVmH-V=j^cr< z4V*aDvgI2jENSlGaXzoOkFj!aAU%6PQhlL$$_ofgiUp3qPmGJD)KvD?1QaPIYMLQ= z3`L0!ZVQ>tmNpH||5aqy(t`035ZPUdU%w4P1CY6$7 z{1ZrfKWY^EF2mD1?qy9c4qLyBakXddOPtp+PROj0L^yhl6QHI5Xd)>@>zAG!m-0OD zRJk!lYD^+lHFMn4)6=BEKh$Nob&U2gT%)VzASBg^m%bx(*bnxEPyp7*11P#NSJCu~ zflROQPWtH-lL=K_QlB+-DxIR}NnlPw4z|fJ4pS0(Up@0A5G2e&{5WRZgT)!aaD~?+ z;dBHB7_{1gUC>V~2j#X#GN^KjXX;3^UMVK*+Nlw6GUbnBntRu`n%+Nt6`wNtfQgx| z{N;F4wa~VV>9LXQr4^jE${UzlRB6h{&6$Dm*3MQmy^b}GqBT7Y-kdKx33F6-!T1+k zx<0G1;)@E`WKf16TD-i|zuv>{Hno+`7_oyW;#iIUVZg7G5<*kgujcT+?~!a>ks{|` zYf10N_5-XolmslZJ#C*HE87I!>*%$Wr?Q4>s8rPt_LUvMhDJtd9Wzbp3yLl1j&#Z1 ziX2|F<~S%A8Js^~obN!utM1ccuL&>U`37Vr>QY8f>!&3vc1P*X13j?$)1oOn3t&hx zO4xgr!{>zw(Dn<^`dcdK>azWLgRb9FV^0ySVFbN@10aWK?z5Z=E=58@)?a}m9=o`C z0#)!4yTW+0@TX|cPUuQ-dKn{P4iKD4LN`Z}A#kM@3>yoWVz~tceiHIYE%y;m^Ap%V zvxo(fhz-ybFbL5^#T`>kj(gORK|a)@$i2(!%o*Q-$zr!h3NYCl1}EoT#x~)K_JA15Tx3-J0SGp!6Z_3Sf+6VW&plUfrb6fh&yym^Wr)ZV`i^Y~z^U zD4SX2U++K?_WVrOh>iM}{|aTxgpZX(X&Tk9=Rn!+XF92JKHVemNFRpdQcoWi(4B|a zXSd(*5qD3|rmaRR7I8d@ffYEq{M95Q8>uU}qS*C7;zq{B9=NtX**m41<52{zR3j+W z%HhoKKa-0#SN;#(#*#(W=H{pBbai>r=-L6G&N#oivB_Q1V#iden6w2tU1iT2b|9}T|a9vS{2Lbn_;QT)Jr6f5P_AMha5u4hHvDtMpcUY$wPsq9QkmUAizTB_9A}g zjVa7<4Fd&Wl8uNxg3=?^4a|cQd=n_C$T3O~0x{@$Cjm1c3dxg)$WHVc-yw7IF*kSj zL;gZ}q|$z}mDoX!Oz6E49G1u?D=tu5*rH3i9O{))JK3yJ;y-2!=wVa=#-x2w1V&x+ zc?J8Ly+@4BdHdU3dm#CnVNpXB06$x$XpRhH_-{jQ%HNYu4I8%W`4m5H_i|bMJg>gY zVx%^B>H*9-|Jj}KP_r7+cW6^2D8S^7c+dl3Q2MpKKCWO4=T0T-FY71-Knlt{Y5cTO z27{3v+dnrh$UHg^DUP;E2KMxPig>W6>-2rk4pv}!n?E+2xA`UeW1Ij7LXB+Y(WxU; zL7xG_PL%CJqU#G^pet2v!5j#}nGicsFZ+dgYO}^Y_H_J{6>c`tCeYnmh7qD2W(DuL zI($CVWxPeDw`TXsjhs6*5Xth9mN%MaVy6ui7q=HMh%tO$qbD#PNTu<7IVy zd2X^KjeV_Kr%x(VF=&?J1*vU#!xs6R=vE&?PqI|>- zK)c4VuJd9e@)E&Mq|)beEwWS5x9!yW>TjQ!M@`YG7R>b6@kJj z`~L#suCsmtaS6YGI3@sb+7=e{etM7VDLs2TD#@DaYaq%OgmJ1r=}_8T?|xS{fO4rV=2|x+!x<(EMcfG z#KGj^sbl9!id6hTQs0B?XOP9NvwVim16x!tslo9Yb&=O-;sgv9qu5-z=C~p5n{^`ymgRg5-Obt@sn)&R(=(=Hhk=2cZ97UFl1RJFqs)C z6YQAs*}J$GoqkP~qfihg4tKe-{>&mBx=&}_onia_{`$Ln{cFO;h{8YZ*QCq>*zHcG z*iYq(ZLI&6{j0|796EHh`~V=;U_bS|jEHaph0~?I&*6xEYT~doCy;32S#e&T5ioAk z>RUe>ZUvwwDr#wKfZZl2RsbI`d2dk3cAFBJg8i>vVEiMUGFsZWT^-q8fWX6zV6I-} zY*?iHn$nzCEOye>Vslm&M5@G1OEBUEvywvbou>VE~90 zR%OGQ=RyFIIaGP;gTVE+f`S6yCyGRKjFEIxKhxa5Aa`c@2qPCX(gLS0b0eE?PBxILmI3RJT{A z#>TxI-My5-FsK7j%GdCJeg*cgg5nmCGNxdW!j$rf$6Ubv#!rsYfPPDydIBW1(w+)E z=174&qpImfEH0Efpt)5+;YWB4ohhCw*lLcHFWg|Mo)+cu@w&=$o;9qQwKVdddT;N| z_RAbutDd>kH(hOiz0i-(ubP{iziksis@RZLP4%dW#zV=st8@%9v-@>*{UY-3GO!(; zo%Hrk5-k4~m@(U`a2g>Zgr3nO)%8QPdOtHWv$G;rLbEzsJZs=neVj(`rXGjd7T+3V zIw3IjoS{=5DYRu5=EPC}=Ico=Bmf7{o_~guPZ6)ct+obc5)-J#pH!c8@Eo5+Wteot z=RG;LkOt^&n$#JLs%~$4Dd2}>&h*Tv!ZYidFFkweHck>HC}XG_3Z2U(?rob}9Vt+7 z#Lw1wr?Qz%pneu{tBKGO#J2{FJU0mnm_NH?om-tP?NkIxQuMu;s3?%h$ArMc7(en~ zj4C~zA+osIi=Gqe<++QgD6H8x7UOA@ZvjSoATAX2#j{sJ6x}v*s9BhENkUdN5= zCz4wO+pgvs_Xn6hi4&%Q-=jkB(?TY~*@P}@Z(y;ThGd$uY^(1 zN_KF6p|#y9x-jbGlm1&>I08uGgZ6GfKxMi5R7Au76Uy5d@1slV-!mZ^76_Y zaB-a=pWB+I@#X2oC7);1Ghil=Kh)W8-MJ%b1CvS&FNKJ31hEaW;i=H+^%R%}JwjO) zbqBSR1<`dUh`pK&_39!zBZWECPp6Em4i!&US%9ys=e|Qtz8;jOi31XQCyzmyc2NtB zr?Z>aY?QQomWyj)${{`7Be$ywke<6vKZgk)sb;f~orf@(gGV`mrJKCoP+o3lA+e2I zf680CcomY7zaFV^`IX9H{5w~zHp{W{V~@`1mU)i1(8x|+ayvf^oXHecY~+n}qLa@W zbwy&>0Ey-agu?z>R#l8GIsl-0W{bcK4jY$tE7x(w>0Nke&wB;?c5t-2w3!K02JSz2 z@H(hUGVylqr!0F;qm;z+Z6h@J$x=IlFjhmAeyc9zdM5KRpa=bYy66deD07U>*tl+T z`_bD9W3=}aL7nvtA~6OnnQM1Vao$JJCV_4o>1W&>)M1mnw+kj3RYwDn>WY2C zIRebA>6%1AA}KU3QeOGmgd;SLCv#utXQPt`4P5kJ;qa_N>m^(NE5av`67URfScG=& zd`Rl*qSRWuQt_DKs2;Uq=%MLB^JI6nrE1Z^1NyTif6h9ee+ zw3+hj4|4)VfaA%41&{aTY5Myck1s*6acQF;=gWYbTm^2#Ks|!3Yv<>WoPd&>*Z%A3 z97hedEZ*AxII_{6?l6pt8*7}oHU?Q#>0j7 vzZF#a`x^_yAh8pRYXAFf|8FkC<9Y6VJj%05Tr@c@_;X0#M6Yn)iHQFLVAGe8 literal 178748 zcmeEP2|QF^6t-na3MGX~MQNegj8Q2>DUnj41%ts9Mq{j%Y(=z?7DXkMHWd|Z6k3#2 zmXdbui-h*H{qLK3cRVwr#ot=``Dx~vdCR@$e&;*iIrrY>7UmOVIxBS+5)zV`Xks)? zNJvaZNJvytQXCxFuBcKdB)rCnWo*rI_j9JZ(S+2A<2bL>2{>1V7fYRJq)s4EJw3IY z=u{Ub)!j?WgT?}fz~9{&PIPBF&583F0**k`#OY|_wWs3=>O=z)8T`T%wR8xSS)9*P zU1%QY3C$P*bT>DuI$^xF77maofXy8&zm@tWWf0h%ioj6`HTyL;o?R^juMU4ap2F${exyh z+XuYypt_@TwK+xfw)X5(`f+vtTM_icj zLg;mo1D5mv^#0*NZ@LrB3;izVi!27ijYapYf6$TP;X!jmyBPg0mB~c z?;8SmaD#``2}B(GA2?2Rqq`u3%8llXoCb_C79Dh*0eaY-?&O4A$o#M&jv0=gJ#Y- zx__qTAC}?{>YrKQBkvZ z;hq_}=if06@EO#yet!1|So0Vlk#lXYy8fj(fx(2nWiVN;3>St6)y=r>D5e__(5?H- zWCjED65Ax^(O4{h6d0)9EC%=-#$afEbe1*xN#wN+c#YH21|LG+86oY7oSFRwX-xJT z575}im$+{@-_l`!4f$i;_t@|1ebIu(q=W9FAqL7hfs+A(G~g|2uQU&*@xWx!zjImz zX$o)vyg|pB7sH#$Hb`&?g+vyW=>j|)`%`4J!<)jWm^3#ki|$kZ`hra4=Ut9PA>ugj z%v8i;;dwk6bPpErqV=5<_B#!|Moh^9`F5TCbNvR=^5C2loHlai-!WnSs|Ywhk!O5S zaA+6&dWc}pPaFq6&uNI?X*%}Dfvbdr^5@?{Kg}LwzxpKKSVSMkrw)OcUaSrT1`x>i zgO~@mOE3tGHX&+(I=U#d{tAK7aSMk&)A>{tH@@46c$Cs$x}5}0hd81()9onUv=Zym0&y=& zuQ(ivL?lAG)d2VUIa@-A8ZX1*wD?aM6ovDdHp`ay84eB|uc&_^k$I>)haaN6=+CK- z0MbLyMr{SXq&7q~=&LyV^M72jc{w4mJ}7f<|8L=Js10KPoa4P|9#jW6S_hCf9>}#J zy~hAK1tm*u2FTHHzLkKy9*8$Cd?vJ3_>4z=GB$q3w}wYRf{S0PZ{RbHl~~}DVlaY9 zThIXwjfVyj5gm6JXaE$ZZE-y4sM`WIa7OtbYiqy8hM)67jxcP6%Aa*Q>`z%CRC($F z12RYvKGmXJvH6h!B}W)!P$!Vx5MA7f?gL)BATQm3;*A~!D1`X~Jc>C4&IFG-FyRT{ z5#Dbj4T`bOI&~dUNCoNd7zvlt9f*40e1f_*4A)WaOQ4|s0Xytb!@x2EZImTIirk`d z4h%o*^(jwFvzT*kO`qP7r$@T%{Ega_z>u{hv1a?@M@`9{dN?HJ-3o8v-@NlBS0*UT(y9$wtFh3+igHfE#0OOd8e6 zu7f3GF`D~YaM2~7CBW<*R)7|OGp);#f2F9R(KRM8ZA6&VZWUEjPevUFk%V?1rbl5> zSG~B0N6>HCxTix=VN*AAtNLIt#x!z-d$%#37^NVLilYRi))O)J%*3fI5Q)1ppY%*N@~ISJL;Z zs+|UiF=x+Fao?5oL)O7l(w}mMt@J7|NJ77)i4Mv6D|QsMArA zv+x)CGysp-d?FDI5SjuWTc`vFUq7EnL~IjLxY`ruz-Gr#E{?kb&w;hWHZIJe#sl#m ztT=*lZp?TWRLu;J!7gjj2n#yKzpCvC+5!qn(Kr^%G+Vdjn^qR(2fVtTtFGvI=Md>Q5N!Z$K-`>9J%KmiaUV+G2)S>(@o%wb3*KgaRD;9**#)S8fSlnI&wz zPlSu&z)LoodveH5b0?no+!zX`f0lTH_sUaRlz005stp*op{XCVgw2be1FYI=1*d9Mo8mEGXI!tV7&c(V+)QY z=!IdzqZ!8*PsIs0#{w_eXfND?`BNe~ps@DV9uBT__jy!CG9K0I z+LeXzr&!uyybtR}QFtM@i(J`g7ZZ-;so@0LtsvIDYc&Bu7XKS~dVQcH)+U1l^xE>iM3P(a$K&a3uozCDN zpRNB(HAwX}a&0I9V&pp*>tl7=R-M&JLhrft`7o4Z>y+Di<^Of^PGjfOkx-qoJ@a9d z;Xtrz4-OGFI|eoYXi(iffjY)sG^G8rV{KTFfgR~>Eh9tX&&bq+Zzp~v_=m6?PPXNe zPc(|d_9%)UZ3ny28rOzL7N1Dowv)`fK_~Q#*iLA3!>U8kM0XVUljYb2k#pXllf8ef=>R6whJGNs+t%isE_R^bYWQDKaA~=kziq^&0)I$6_F3k>p1bRDs}m_ELgh> zVo|W(Ja#57iAX`;H$cS!FK@(5Tofmpf_-B;uJ!972zXs6jzRJO$wUj{7Vjn71!^^| zUfV!Q$-l>xmloK_dx$#Yy7WhkK@(bA4iWgfO;U52ynJ+wxOKHQo zS6EPPYjY=|m%#8Nz8?RBc`yGe(vV>-bITzO-zD1v(rBYn3dY>nb4)s*=FcXX@IVb2 zuC;)Hnl?AZ4pzrv`o05duz5M~T2S=QLu$y-t+XK2@LsZAKn=gDi)_Evfi(XQX#`B> zg&d-u{EO-?&`4W!ato}Nz#vUM(Z?0e$on@{AD#k965Mnc<2>42HWn^_gz3`%<2<0o z15>LuAl25`9ZQ>Fem1Nh{R<=VpU=;x@RTt&y^c-fshlKIxS|xqrgq`Y_;qYBKO1pq z9m`V)u66qD>Dh351PuI%hLpj&xKG z!j5!p9!k)nBb|&+io*m3Ew~KEpRrNi&2Q>2guyL_MphICtN3B3f@|SHQVcy%m&vR* zr<48lM&vTdXpeG66{mm=_GnYE+ZLK`153iVwu^e-_5>QRD=;BbhXBH(w_)E3CX9F; zG-bmz1r#DMFxKV-5Hf#NOPhr;xD6KsB%8WW{3mnH1>zHbqN+Y7HbApDq=SQ1a38By z$1lV(n{^vg_@o$k=-5^Qiw8Wf8-fQE4j?>0&w+)9wwClIqc_wZSPlvgY!*YRj}f|H zN9jhen5IApv}EfaKneaL-VWUf4EctC8o&uCU_+b`FfpPHs!s4OTL&rXEeojit4)su z6kl?KAUxP@OBaF#$}qsvNv(t)XfW{)poaj_lDD1=*>jlgNOhY`b)dOfFudsK{cT3E|M)0%-Zgdw9@Oc&knN|fw5_dlr zCe_nbi{=Kl@?avD*K%XH&>ihus4SWn<~8^Z!;{8@oA$CdGUL$5=G`lq2p8Umcp+em zbSPHTQ%`sT)s|IHnpP)mfUY-%jDTflD1ocjis-SHQ5%Rn`(o>mb)YH^^@gU`MTv0l zTxekY7v~N7=aUzuw$fExBP&MmtnfNtqL4Jqa|#DgQy%RB>~=sO<5WgWq+>uHUOAd zeFH)bmV4-+u-z2E`rC+!AVst48}Lan`UW2?KswN7_9x}ZnNZ-u{g|-`D~+*Z5i0D^ zU9_mz*J(#$&lQW?ZP!EHFu{M`8f%n`FCljak1-AHer`bGl8ShQjLb zR>!aA=M?;EXh+VZA#4E08-fQkyoR0v&I-c9LtD$4=%59d?Fb&)R?Y-2z5WlN1i$Lp zv6UPc@(uqqfD=496AEITIL8^KeL-2VeNvp-MI2Aqcu?O!*TJ0T*4w#=)(t zNug3?JMa)~OOv9YbO7raC@6-uX#Csk{1HAW1{(N4q3vl>s62s=MO{JD#oAgOFPziS z4#pziQbqM^)>=uEf|HKxjY)Kzw&YBK8lUgun^p9UFZAIZ%K_K-o2n5GddloERPfcBMy0X4`=Z zeo>O$`5m&9AX!qdAW6_g<6PMFf_y}qqmcYXnSd#Dq_i6v_=3Bhh^rqxXv2IEX4JPm zdeD9p$v;v-bYPvF4Uz=cB2$`cHnXk(WfB%EjsF$=g-HU`q#T#^NP;MR$F>Xry&BM$;YAeB;At&6-txB<7W zmtCa;xFA~Bi+qc{$iq)(Hvhaxku#K$YG-gV@CKzM*dkw;J;O#dtpZ!ku6@8K#lS#E z`M*GBBbe3z8UrI0#BPB(5#f|S3<|Wl@_$`4CDj&C0500{D-^(D0E#2ci~<4_P`GbU zi;|b-M*)5X)X{Ce9i0P}7$a$1!3B=}5A+|LZ|FvIW`Vc7Qw-hdPEKwBl?{De=`5P1 zC)E*wXkReV5FBGNygi)QGZztqab|e1#xdNGc?V$75y!Ltf#Y7_OLPwxLv-$=5wdO% z=P8341_|JNgf=5<=(axvluHiS90Hxz{6C=Jx0jQvM-k9)_8*`K z{K6+EJ>o=VQ2|D18{z>!Gr*~9Bkr&MYKk3r(LjL~iBw+%B|wji!3sPMO}@7sun?$$ z^7VQhR3k%G5SU};nYRo&BZL7fI+I8O7tTZjI&6c(8cH||r$wiR>GErje60C&)7K+hHZL;_aK1MI!)i9{bAy8-dQi4j`?X2 zjmM$ghjo#+)Z|?JxpiJ;*_s?EG zlGBmD(`hZZ+$48UU;<4?Fcvw!7XvVDE{8yYi>yG?#S>vp0YmJFZ7qX&E?p+70 z_lA=223@OwHM2Oq^3#|blInWS6MED(>pb}!k`CM|0@|)NK#k_e9W>~2b$FJuZAjQ zF_k`4FHf*92NQku&{0FbhG}}Z4KcRq;jva~dXx;+YkDMTz_vTz z>^e+a*N;?xTPkV_?7}oG6eh3@3y=Nwn)1IR7H~$R0PTfW8zI3RS75*XZ<@)^t|>f{ zD(@vZUZZU062N-Z+a06yz+7ND_ zaEEaQ{|7f367GJPEdOmDQ$H|6ZSe2ICFo7K5P{-5govg`B?_FI3oi*vG~gxM1u7wQ z5%J6zdv>EaA*-kGnRO$e2~Z?m_CE}>`THgpl3^f)T7sa-LL@;92o*}9!L%#`;!dou zqpdBN{$M96{;a~7ErKC(md&54TMWdUkvxdgc)A;S#a^S}w-J;B9UNkhht#7f5VU3D zK3%Z!ApuX&0ZI!VMo;qwGa%tyA4`xt+X+a#{gL)vgn}cQ#Ye3tV(V@lQ7+(!! z2&%g$=yNw@!akqNrnPB7QWtD)!IL{gItig8m>2-ckJuOh9)sQ2!k&}A2AjX-e_xUm z90PE@UEQ#*R}=T!?yFt^(3I{A(??Lr#P$(9)6TE}= z!KORJc!5cD>_Gt;JuoYj%!@y|+*OUB1vG~Z@?Ten@4D^7^7uu0K7Yo)gJ^@<<$nhU z1y}%&n$;Y3_fzz3r`XrD359>z0%2~lIg#(D7O0KmtKDrEC^*rhXYKLLThZl zuX+@&>fe@o6qPVAz}ikTTfa?1IFSmP;3cAJXj5>LmLVO8(Q!Bi)V|?9;t&(shsWoa zp*t#3fFdjSNAUa#T@s4N&=LeJcHj0YQHUL4bVJ?DJuX=Oo!Jwf5PZw?7Yo5#X< zuh~AZhF@?-u!g~bQH<+rL`(r9lz(7BeXt-EnyQCTql4l%hTA}2ilwWt@E+P|3r8R* zTgG&83$hznoMVF&>TsrK{-kaPT`32zg#-2P^_J2iYLnrC2C4(tH3htj0`m*mbro^Q ztu>$>)~83PT*(>cC})DZD77eOLZGpE=X=80N|1Qqr0 zC{~c+OhgP;fR!rPl|S{c0{vR+Ulf)!*pzO zx9U>*coM(j$Jf|xdm$WM=mMdcley^tjG@D2XRs}gU>Q<<(MpDndh%9sid;v?c8iE( z`?XWVEN*~&>sQ5cP2u@qX!S36KKQIy=<{On4@a6GQW%CwhjTO+xbqWQ*5wR}?%|<- zV@8Os4q~9}A&p{zPTk4}SS&!<6c!7huWThOXjS>Ijudl8^>1JSdBv}a%66C6p999D0yM9pzW=C0+(%SVP1fYU1W(^O&o}P zxt^UfxQTTKS|IXm(UJVW7WdHQS%eMQGQ1w6Y1woGX z+1xAM@$H|V0=iH? z$ZQ|zh-N#Q$Qt>p43YE&dyAVtNNhB~KkKF5wb9mYig*X3J3()O)u7?AmMLJ=;n}B^ z3``q`2P;d$fAy`b8A!m-VV8fTwGTt@sLf{jgQP05EDo?B-JcfQlfld#{w#T0E4SB1 zvojd%`j;nA{Gv;B+eMe6R@eUJIet&rfLCh@2i7v42^bjX8KA%oCSEm_I?-TAN&$Ne zLeGc%kAhIcx6o*Z*pdPXC|P7DBrwp$E~aDB7I6N6832u|y5+PPNCv|-wEw=9CV4kG zBMVq=2KoprpKINk0!UmjUC-C$UanM6Wbt$s6Z{{*pd*_ic+!}3cN&Yv#2&{KwHp4S z+K#=sf+alwIWLljU@}-#7M}}GS$h6X-DT9&W%Ixe_-AO8F7M0sV8*jP(#6h0W3VmLP6^y zI2o80a?|Yy3fR>nl=^r;2DJ$&K(s*hNIgV=Wd<#Z2>+|3gv_(E2N@Q7KyTD&+6kF& zvu;aB*5R3R0B`@-Aj!Eu>~8_tf+jkD8!jAy+q$;5LC-T;N*gSR*II)fm22AZpyypE zxor=69bEm{sbqNje>Ujb@}NZNL+cDmoR%(yJ@pWzI8cfW)^z#J85?A<5D|u+*PGYa zlh^Of`H z;0Ri}1bm%fRgd1X?*R{XvtiRmco*#=eFDt^6YL=C7XenmS%J#c37HG(K%+VHapOir zKRhcSGwCj_$kduPzWW>ETd~m$VhjSZC@2%d#xroqIcO3sD{a8r#`G=D562(m{Qr*p ze;Q;YGZm9@NBl}W5KqS zoo4&V2MCA&_$LGW`Qj&JL0Uf!ZI%`d8=7D1htG;}t$bJvlXeV{q5D-bj`QW_O}6R4 zL4%Gfl7LJb5e<^C;|k_AT8E6nWjqC@b1 ziR(I$xuTY;4b2joV54=mmaOT3>RRhqE<4!^g($@I!0S3433-DwE;l7?4c@Sg9Z3k= zAb$aT>Q@H)|M$*=>l)R|2Ep7!iyCb6`wX2{6(<{oWL)$3U5fz5!z(T}1+@@pCeR1} zZDzt>m<)6^&OV0hoyItVEKk0bqW12->6@tmZK(hLlvhnp5+nFl-uVZUW%Ft|?e z&o~~xaW^=Lwy8EUgcB$bmI0>YbC4YwpLi`ieA@uf3n2a+;`wvwA69792k9ov2Vl$q z&aJ?j0X)_+Rjp)boT#yC<5B(ut+3JLgOf8CkS*7N{05E>XnOFH?II-r%>*-E2tzye zO2k%5fY(U(PoObCeWNYyZX?g$tpUo6}RUGm>p3ylod zAcsRAuCRjbcvP~-Vq)u7yy@`En0#H{64kJLdvMlQtpvN=--&Dl@K`SZ!Yojf#qb5b zmH`YNxL)?L@n8Wz#QlQz4S3V~jR7k_J%i;iy$ap3bHu)Je3)q&<_!SJG^(^DK6EEdBZfnQgu6B~{Zox+Lg z8=VDK>arbiplWbhIXl^t|u%NOd z)lJKd;X-$`bD^?mURrbywn)#kL-|@WOZIS<3B(cTc(Lq2Kq?Hct;G|xv~1`MS1`x+ zu8twXS-?--7Iou*hyi!8(Ltt$)E6}xK^p&#LLsuYz`3~sI=Xdpo^V^e`rV8KvjV%> zo#8}N|EK#It`>_9XL8-DIhX;B$F)*Nvy&rC1_PAV8LQ(Az+kNVfr%3Y8_hsJ0>+E^ z4EwK6bSi*OfXnPZpkDy1TZ0LP$dP#OGYlaiRiTMS2Gjj)Uu~8yn`wC~uPVprT90x5 zQPVZX8_KM+d_OU^Wcr54JrTWP7d+3V6u78~PmGm)6dkt5VB?y6YLZfHBz{v@jf=N! zdhhKYQ=;=j`+k5+`t2|NA3lGwyYb$(ihA%7W54g%h2K7Az5cTB{0x;s41H(@^rH3OuC+sa#1_Sf2mKb4t*9@z*x^!2&MU zBT~pX)X+>v!c@S;UQ1b!Jg-LTP7D$=;rU6l05{TO-U(f6!52&lUO2O0qJVQQ9iU&7 zXi;)GXAOU|1T7TlA#>-jgSminYV-h&vUHP2*Y$k&5+rt~d!lRk76mT>=hWXOX+hGs z#_~$#Z%noFEkeekA5-FD1)Q_9aZr-Mw&eIwbpdVq5j_1dmvadM&S{|m9-_1MU6tVi zn)}#b{i1BWVNccg&lzkem*{G-`^8gx0nMGQ2cG^P?n1SpN^bWuZTc>Jh0pn@VOe=A zedm;|V?wGX3+MoSk=K%HgOv0&T(9k(`Zy%`yiiVgXtyzmp5-2Maf$*u#l#^g=R(Xt zT6uPGnt9G#-@EFf;$0%r&B)^g*sqOxbeyYaiJ8vD=>FqWj%&{^o!nD%mfGBtJ~R9W znQc;=(AR&*aNEo>h53iSKE4<`V`EOJg!C=i0R9dame-h~dNe8cZs8E6wRW-v1_G|O zNq?SEXw|7N2jId_@q)v;L89jU+a<@jzT3U5u{PdH1l3Zo4irAj?*}rLoW)J-?yHir8=S@@{ zv_e_O{M(AK<9$f3(fJiKNrizUEPC2Go?kJwB4jz=s8S6OSso=m_XKNd{3L6|=V3Bq z*UTVg54yI}K4^3gnIyZ>uEI&d^!`D*#UZQbcEepwC0l0&q@TExY^JO}az!t^p;Tv+ zPc~7VMvhJC9-VSJk2opAkhj&&sYOpJ%0BTTud4R4_fe0#KC-4O1q& z?M@L%p@0ASx%h;4#n63Xb8f8f95FALP`g_+Med#UTN9C0L$hy*RRjsR`&l9z)PKofL`t6@9EuyjOTTknXpmjlXWBW+)S-o&eMZU@m5H%J(csS=Puu%U2 zsrES{w8g+!QZ9sFKQMQcdU@Z)?}}oMo)hN+>mdWx1w}$})iZlDcFQTrbsHutHq!g$ z<6g|7f~v5M>%}h)*Ux%!w6layh=FdA(2f1(@^pH1LFSYT;zm5~j*sNO84KH%}GG zz5V)Jlwwu2OQd+w@PV2=p5ID02_hbo+)g)3zK*ma?XrY($!XyOi@#JJWu8;8x^RSE z^7!7us&&LGlyN>cX^K{&-=4-ZoL*krvv<+6E1REeS@?Rv6Q`0HPYR5XtM!*ljTC>n z>{J4+@9R8SM$MD8u5{UAqckPdfn699eAYrO5Hl0Ra}=S%vbO4{?*uIMvrrZGeRox~vI%O=vo`#eW3`sAs8;UQ7RA*idD^rhiy zM#{x`XRA;4%gZ@JGP(5Xa6c6OqDO=4gkFx<*?0Nodd=Am+bs6Y)ZCMjvi4T`{Jdh@ zsY<~*Rg^v~`hhQ1r%#vX_+MP3K5hT3bPLZVHRlwWpB{u?B3>OmP;=H1$(`mi*(1t8 z%C#ubbc5C;S)8$$wUjFOn~83Z(j;Lq3!M<*H-*Kj&%30JMtk~kyuL${aH=6C`}W*L zs|f}g$I3&bHF_Bd^&T^zdi}81to$LnF0ANH96;|mLB-?LXJ`7&Lo~Jbho>P=T5XuP z*46&*yZ9snFk0vIIiBQNP9w){K=@wfwN@3# z29=z7v}%;O?ZV3R!c}S%nZVl_?!_7IvlTMZ5}v&&5OSITTrgo`cBVwm4WU*2^+zwA zA}T4ed8GHP7k(i=;%-lUl}2mI5RMNoqoW-iu@cazGK<6862-Jmz9Q&8j2I{~N{@BB zn~|m8k8dAm^qRow)ySah;lf`PpHJ5c5J~u9I7dT0a2m;Ep7TvDv?-lJ!HL`oEFUa9 z&U`ZI{nLI|hCiB&t6Z9H@U8a!Be$99>C`jg1}6DQ0r?dd*hA=yNPJ|H?Q z-EwNxDEcPf5AcbL4FQFjCAx}=r%!S~CD%4vt4%|5@mG{VvS7;7WRY}49<_#Z*j@8d<`bDZ+-)`DB)odfdxUc_XIsXqgUAp&HG{og@&3&*LH-S8M}b>?~X5Y;^sp`i40VDXXU4$QHh_(Ij!V7_jughh46&a{ol> z+|y-xLYesaWn64s1gKTHw6gTMiRGK-ABgGq<5OPo_6e&g@%!h^|N5!skYA0%@yd+b z4)g7z#M880Nk&DgQZL&;UeB(~*j74er)^e%)FL(2 ze)&mVuN_=cO0!C_?oV_ssCK$rK3(GFwTGgC%KM|5(vx^Zx|4P|agQt*r}+gxRxwA0+C zf?z@8Wr5cn6q97N0A+pf#>rhyHfUh(`-&T;E0wed?9N)WZfjuep3cWV9bEEl-=e3{ z%(cL$rsXByanD_r1yFyIMz(XGB2`uWIPd2=KWvRe*AB4?ziFk3bFDe^dQ(rC6vrK| zft|?ac^HwVFik!WAWI4muD0G_lwY@V;-fuXiC|}ZF1g>#5ZHY-WkOlzI$=!=Q5|Y_8nZ9M$(uO{(+tfkU)J}*=JJf z$z`W<4TIN<_x>`N=4&|-Si;aRtl|Osy0}`6kRdqNZ9=pK1;Qa>NyAfY4xdjSXtZl2%SfU! zN~lOST6U!Q?8#-IjVwF{`ETZrz9=9Z%f22HAY!@8Tp^(*Pj0DNF@4wOLEpZ7++eK5-5vTIPt(x)Kp zeI_Q|XfhiG0nop=bKL8!W~KGFt>51b-l)Ua(nXm(ywqo_-$SXJ;Lj=U$?0h?ukX9$ z|7`Py(${HrYSFVmP&aLq_N!hHr;)gMvYFL_Q6~f5&(nPn*4^p)-Wh3zyR8mx$^85@ ze%jQja`R7CYYL@>Ox)pJQJQ~W1SkPPI^Z;!H4YxA+fWroBHm*J!npFtVFzTx>@vT; zx#albEfS49$zR93G1vE8@s@NsBSmY#ycd1E>%08OR@U~iYQX00UY72UXIYhvk#bst z+>5#LC^HmQ_Y4HB=WUtWRaY+i>E~mQR>fM`EuQhA%yG4efji(;w~jJKynS|6akL*1 zv1|QO5F%f=wIb~_q!Z@gu8MU>EdMyKG{uIn@Ppe;+J?E;l6&93yfwD=_Jn?m)v6gm z(Sd+9**PV29bK)ju&g>rv};6)%uIFp~2A>Dx$vr%M9e zuNJv=B{T;Qjbe(bm;oN|rR*&Uep?#sz$Qt!ZWJPfOIweevK^&7)5J zd-|*Omz~sekg&XDOwi^j>+bs8HaqpbwxV#>o~hfHEdtJJ)OD-rgHyHz(~M#3bqB4- zB*aj=4U|q2KEERTmawS!1%zOIytnXR!h-X?0r%3jqMZ8r#&jdueN*Il&nvpmE=0%; zeEzObLDw0K+lZ*3*mQmCnPO9K^iv$10llS~ETRk*rCnxSwvm$|IfV@}ay62a=rfXK zQ7R#MJv@5x`bvNUMSc|>_k<0V4aqfG@#_|xhtR@9>?kr zS)jVxQvE zBW+}{EGYi+$@tOEM2|NoFP^zL5XQzD;^6Vl#kpMF4j{gFZ|P|L6frX6-tZ}7KJQor zSZZ3vrSm?6gr4oWd%`;wIQ;Ncd6iG~_AKgE{h{(U?M3m?wMgjS01%hSCntX=Up2pO%Qklik;BUp;0Ph?b294e1XUlBj>h{-aJ? z7k`jSpWty$h%`%!alFDg@9xv37C{$?^d4Q_`KhYBdv!B5*I@Us*mWGI&|^6megiIN zgr`j-RSQMgOjLCi={CALXb#{i_@ntx^W{E$t6DMw@V8+bE|+*8jrW~Pw=R;slX23u zXu!r%z6W;U)nkqW*UEexGht8>={7131s4Dyi~c-hg|iTdjUVLCnyA`Er1nS2l)0BT zX?J&fcfzM~Z{(Csq#^Gtwo5LJtQ|aM%d4_;T60f`dE8bpJ+n+^hL{3n?70QPn`PI$ zf4T4Ay%&rN^9Q-DsVv^4J%6p}3^liriX&`?*D^#Ux~`2GIANxO@@T(Qdym}79y(Q} zY4%-Nows-0h0g+L7IHA_L(Dw(I6WPwci~{CYjy}0nEVN_-;r^9) z#%}MqPe$&)J^s}4?t_Q!3c6LS&$#2==h&*tM?EGStz3I>`t6yfqLw5fWw+|^Zv9Th z?hL-WtY78x+9TJ4XMDvinR3!KsOAXczAcEeZ9Hn-4xUs{p0r#%Rkct`q|2fOs`Oz( zUo#!fb*A4rw!p?T6mY$Zxl5(5-#T~V?1Iqnz5%;my4>yhG9K@E-h6$xBc$r|F4izq zM-5P0@|+YA@7QrGx`ON524uibuX-p z>p9?rZKSxtOwmgm*3x4$F#4o}Lg6)*r1D(V;CJ$cKekeAar3TesH@m-C%IfvL7d{+ zh#(n{UFMtjlAqn0mpze`I%==I$C^v-cg*&QX$lRuJ2mICP~pWp5%EdF-(RmC;Rftv z>>{l=!(}(uKc%kPm*85sYf3*l(D8OlOL)1@S`s$Pe|dgLg!t|Z$Glbf^7@0_hX^gR z-kSU*Sb3{>TsR`>Y!mXP?q}RQv@<_IxYAWxJW_nYet8r^EqVaEi6oTt&6`-1JrL(S zIJlQo+!&eEZD*IgT&iem@i4fj^t-Ck%M9J3?2%opHM8Gj57AvNv%xO#I5SLgzNA~h zWhWPUIr~OERD2#r^fL|3aKAnD(yCO;X-NhreJb<2?)0s?_vW(B{a2nU`cdMgxs+L{ zf!_jV8wd~3A0iPcD`r0S8*3v#*4i;1cPKNm=1v!j6sPT4*&7X3vbzH-*y5=$m}ngO zut0mlCOwg4*F?=O@B9PZ$p!8Axf^{;gkxQD51(HcBfzX4pS0ZdfdPC zN&ZsvYflPKeb^r~rfexIEOFar{HDC_DW#pAPo-+g6b$V1{A7k_>f5_Pfho3GvsMn9 zU(`KB%e~t3k&)a?@v755zMHR-Fw0vS;C1kubos4iGRoJ^+kNS;@vKDXQO=#~dn|Y5 z(~}NZ^$RV$L8r^IKGbAgk6`ug|w$8aX9Sz?omRI{>H9Cf+% zFbNREG}7dv^09H=7tUEHO1OpWdC;6=SQmyZfRQeWTeR*D4Mq%?^kV~b0=)$q^z2whe^2oYOJwE{bHr9 zb8={L>%|T7QohMit~-vOU0u@CSaj?)>t$$DejkT~R3VxO67^Dxw&gmq7nSw~3qO3h z|HHQSeTCx7=UEZrvYxdcD)*gTf#9fJms-E2chhl3qAI%CX+cK@pVW}1R}sHVKp80= z5I|K;V$7jH3zEsru+&j%_OhRVj`KLqaa9aZa$-y;Ns?R(^*3M2Q+|t!on0j4{?K*V zbqUdweyP;b2NY`m;2!IzgjU`@dI_Or_e(bIow09_-og((7P&O+c;d33UXFiqaq!l) z-Nt^!o!Ne2!i|sjmn<>3a0wv~V^j|&1*eM+9KRy^(dx1HW?J;p3pf(#OxKS^B`?9I&>gpE_h7YP{}Y#+aJ zntCtS9kN}=d@2t$l$Pirllxi1Q4{gevSIqu;y>=VC6gOTe(2HF1?~lUmM>$m=YyE%<91O+^Yb;od8dYw8{#2zauHhP)WyQxa8{dY^{|(IQkkO zdp;#&`n~FhMqxc-fbbZ6Z*9QRRlTl(6wJDdv4g9oAGxNz%Lj0r^K6=B37@6vV; ziAoYS4AlGfu7p4@Rx=p>=IWR)DL`o$Jx_RB!26en7CaiCbNn7imYBp2GK(B}Sw#o% z+I%UsbpI)P@2;I9aS|HxQZ);|qHKC;)Nmz0gYw_susi6G?dT}8FACsQ@`rwp0ij$o z|G@F_V}5+~Qdq4lQi#t}u{?B|aq4rj{1#QxwGh8&m;?ft1J9AbUonO^wn4+!UgRV=$R!bCI?W8y-`o#4Wj#@|W`o!OQ_oecF9Nuy5 zbD@gJOCwH?_I7j%2dMPj)E=mIlU|+qcx1=C>wAaf$lpI>KGFSN>^vQ}z?9V}B$%jD zf~QA_KTQ+SR8>uW_wZa44LFjJ)Tz}=5)aagvR?pA#zH(kf7p@>@&4FPd!@ID7busCo*L+8-An4>nM1ToV!23ST)$RQU!&L9z(sq-M9ri^ z46bg`8>iSc_fF=)xhH3KrIZUHp}FI3W4$f7+h+GCIjrUidYQ5&b4c0A-5v&+2XyaN zBqa4+{76vH{q*eAMW2~@^Dju4iXKkr-eYi)5LL0nZA}$z&E2PSQ|*ICe|dG-yp6T--52^R2)351^B!E?)QfXpeM@3s{L`kukVyA7*8Ju zLWXP4%;Sa>Tpnc{igQ^8M}__ZNi{7cq4c>sL`M7dVP5Dz?=7S6h#>D^a{m#&nh~yr zKvmeNx6o(7%#f%UL!*hI(QiPS;UWlo5xSriP^l+9T&CP&LG8O2_oNSlssMc_vGOP} zJKGZQG0nRteK%~d4VY*@Rbj?Fz--Eg6-=K*%63utTBWalJ+D?ZC4R!!LPhtT6N*#| zHd5!JEZIT@$+meOahcT#==I0XSzRd>dK>n65@D|Q^%+A6xrqj$11iNAsV(|ilgG^K z<7;%Q>#)Hft1~4iDQ8uRr&zZKjx}?`amysTZ8PX+P$(iI=Bw6sis$Kjb@}r0v0~E( zD!I-V`IhJiIEU`gd-6Bgf>dxPy)71JpG)mrq=YDIszcT2^lBhGU6dY?sS0!qyWu}h zgOMJKD3L%K>S?;rEes3=lCY-IyO-BZduq+G_BN8q6X_f9yuUX|Xl%t1z^OOl9hdo~ zla4)JW4iZc(3H&}W}0z5c%-t9N6LZOt4+_k(}fJ|uO#T^&+6vb4}iIRC)JdfJN8R! zC{>@1g65n(BKWMaDC-U@KkTSF&_&X_^c$0zzr25eW{j+iuvGF3$qnI7zA{U8xt22~ zmKje8vKIepC-=Kp zStBMXFDq_w^nhqcSkJykWU00#hr1tj4f-+;e1C0RWR)yFT6KW+{xt9~OJKxc0v-m8 z&A?IpXvYW4QgYprO3^n8*|jBLQWibjK6=Me;o7A-uCgm-bNXKitB$Q^$Q`v0Il5c6 zBrdmq;R)~gbf7a*)}^gKE9qJ!r2K(HpW_#0y5B!Ui#jA(IFa!NIQnB(y7xrz4_tC5N%&S6j_hz&(k6Z0I(cc&t!D!!ETJyYHw`_zewZ>uvOBUD zvRo4vt5Gh;#U4I8AWGcc8_k}tjn({`z{(w0?YLSm*-*U_wdWH1&^*(S0*Wbl9NdpUL*eF zuJ3)#VM>T9BXW8eb*J8kvT>(AJ&amYI)0>BT1FX=t1~PJ<9ckjdq`H%+HiEzX+J2Yk9O%;3FE4F9n!X@vfNN3B=0BYrFEt`R;k9)is z__!QEWUi0vJ9$#cde3G32Gyp|zhOT6hC{`aO-7+R2KGLykd~7$r)2cVjENtMERL@{ zv3jU!PoT?_i`=e*846!HsAz-Bp0i^jDahvY=Vx0-02`Y(;L>C8(&~Gk z)R~VanXeb0`F1{Ke#w=qlir)1UUzPRiWE*ARclCu*{)0KS=T|CUPo8#o$4-Oe8%=(ATapTYNGE3}FBMrK_CvYa zQTT`It$xABXI}bt`guj|lHvQ;i%-3*WE{Ht!XaBUQn}DK*vL5a)q|ntt4q|BvXA#t zQOy7fON`W~+xNc@0{FQnv*x^VS~_E}(sP~7rSm;f#|z5o8U<=_$3KKCA_OJ&^E z&XV(bGt#c>rr5lNaX|~+yDcsPISz|$h}2!YM6|IpOlpQzD(}4O7huKr)3{mvu}do^%!WdGi)zBLZb4wUD9uV zx4v9^`F>?)LA*P~fAxghC;DbhJ^FT}UB0}C`-ZoEj1R^~U9=UBRz$7qZY+9qpL*73 zkeW;Oj=Zb!?JHyBdqAeEZc(pt-QrgeDU@%Wd%!e#BFXjssjPwoUE4PZ%$nbt5MGf`ax zm~O7irYI%Q6Q9yZl3wz~4s@Ys3C@SMq-kkCr3{xz$h@-QFGJFh>@oa_UhqOtt zY^~x2@YP90-BX485S=HxN#_g+Fbwb7M@&wd_2!|>z5T91CpISyj=LedS%{t!(rKvC zp7ey<+q;|htx6vuc6YTW6&i>@P|tM$_E+OzRu5pQuZZSS9O4i0Py}o=wFy%ib}MLH$e$ zoQQxotMMh6CFLOX2uDiHV}U$9B2e~+M;b_~=gSS8{Nm9hkQ&>qmn+fRYg6s`BGn6% z54lZ4?`v8DH)kCkL`34nJ{e*FG802R4~0Jxrs$}+%*ymjd*gxwbt#nib%VF~83L)K z=lpnoj}$<;nfLskJ@JoFnQe};>;&_H;l^mVxDiRam6RyXeO3Yrxp4ZFEKpXZcEaHH zwJu9K?@C}sCM@~>IW0pvJml@u%TeiPsTD`2lTuqW5Ib}Y~5}Y5I4Ta9u$cX7T2pJky?Dv zZfvm24L)~SZFK<%+ zG-)KzCd`38JQS$FQ9Fi3%n{nRY=~vD(X_F{*wu_j#ZvvJ46SjUKJ78L7k5Mf2xDEI zFfYIn0OaYN+hRe@hs9JG5acV*^HuGYE%jjc)a{1*H?U=I{X1f=nzA|zGGJhYX7ZK% zrqeS>+2u$&9!PZS#&y*-@;LTjS@t92PDk%Bqf+J^pEiDV-#(KTrvSbeB(?@g^i8aF zi%03%8z4X!1U@BPi`j8~SFm?M+SjKpjT&*POi|QYG21G4|IvGUQxxNn22kw= zV)4h+p1R_&OF%_u=;LRq>-0S3Wd@liXn^r}@a19ur{18tkHCCv229$Z_L)XZ-&@PY=ifLm zBR&wVom)FGc90ag*3%+lYs~8t6a#*NU6%b z&IT(Co2h{&e%|#9lm#_lqigJ)k%qpYggj|H{sXMiDMm6!BcG|)*dDK;2bl!|yIVIS zSUczIX&`OQm??GafTn_YmtKkauKPshTOVXP7(MhXV~4sIgutnK$Eo?iMkDBhQ;826 zvRrGuyvz~Rpg{WRPNicWQm-EwfHM}YEYXjWoY@a(4Qt{SWPn^@G?G$%L*A|e%g)pg z3nJXHyuVl3R1~e46E+ULHbr-o3HUe>e4I(%rqUJl+-TuM$-NZiA|Xf0n+pTibqhg? z&-#oFA{u`6Fq?TvWR}v7#R{oQ0C?IUz;x+w-YJ+&3;@o^M{9$6y zqfREC%;&5*!Z(H}?U)yNvo{DL6OdHSTdAkj=%`g41a8AYWOKT6!f=@*7KwS=jYW*~ zd+9PCo1Y==@2a8XK=X62wU0;NXBv8fAsgxQN zsfNeq_XiG(uhcsR6b8ulzU5{Gl*rp}^X{>q322lY2?9|eaEPl7b?90F?*7cgC(+>U zdCQ`MFM!WPbaBrZmh2i``Ps3GE%$)Zc22zzk{{&MW#GfTLEiPj4yFmXN!}`O5bkOy z87(8RI3Gb6!(_nfAy25yhHVp^2|J~Lokd}ZVi zC8veo%N8QG3Q7^Qxri%^WEiOy1=K#k3MhM23~$T8_Hd8G$F z^IZxphpeI$f&`2y2u=DNw3_Z{kUmsR|DU_j`=&2I@aR4h3oOck;bP`J}krKc(j>u@5MmigP>-$^)#00qz40 zVvav3*OOW<#vGRj#IBGLgXA?jgL^gJNMHR3WK89H9Y(rPe}xzlHDBGY?N(+n(&v>% z>7fmCn9HA?unrQ-l zAeDjOMXo}3DW`SWpd>`>y92ONZ}FRq)1d1ggJe)Ws6V@#+nr-m zfmhs~BAJRG1xD7s^AaW1#!)?&XK0k2@ZJY%hY!3Q2@2`5dJu{}-~aa7m-+s>)g>TH zh>a!2=+Bg@{!H0N%nOu2lE@l43G1)cnk@ij5pQxN`bE5$t74n`Kr?f}qw~e!8wpob z5cXgpCNi@~Us`lwRn}2f)xE5jk|Cc*tsdNiO55SJf?d<_YMrl$&5|)6#yG534gLW} zN%lH>Q`IP)b308p{xone&J@@R9;gnty{Sd_5 zwynU**yhBLz;{Ixn3uJ0TmYJr77#Jk9hiGEAJGY!hO&Fz-aNi2vTYl1<~&f?3UtV^ z-07K6)_iesdXGzyLM-$&?E7?CU+*W+jx5~s>biKIeZpz}?cP1}g zb|Nq&yEf?fi*Blf}lJR)` zlxG^ZIobLqy!Y z|M2)wtL@`u)bG7I_2u<(B}IvGA_iQnJ-I0|vhyBLQC0P3xQU1dcpy*Hq2CuR7Q|KRe*!Wav0y}=FW%ze1WKLrZ+}YA^>ScWrAE8o&Nz3= zd6V*}nzP-XOc+}`b<@cUr#Rsp1t}7_w{z-AA9uj-Ox^kzEd+XRKwWia~zbnylS z&;o}DmYrTd0{}DFC1Jrb)p>^UQcr8Zh&n_9x$lgp>9NE`5D}M0V3asyA_) zQ?{L!wM{s0@p4n&VP?CxCwOxzql%C+Db4$;=}W2>-XCU@2?o(2pjf=PmA)@u2jnSq z0cO%_9-cd}N&C{2Eu(GLU3`;f8YzAtdGki53Gg#r2WvCNO&YnzV2+$}fxq;^lGi?F zNE=0>ImbKT{N?MXfBl@)Q|8rp&{p65ouhy~gPsDWJccO(RJAQgD8+oaXV>_9>*tDI z9s475^!sa;B8(>s)CZe}lHG4uYo4(%2{nlxQ&INJX01yob_r`L6Zd6LMeospwnl z;GVLBCdVaS8TtVz%gZ~tTG|02utZx^sZ6RrU}2!5maV56A~j~L9ouHOMv8-p8Zi&f zgkM5RenH*gG>2?3+sAG7;OuSv<+qqlQU?RqOkvo7U?X6YCOyU~`;HU3mnAo=%a&7j zlR-Z8R$#P&0^|DLb+_kDALFMn7L?x2_;lvjv>`?MjDou#jHQK7B=nSe^>V>5kbf_U ziLu!g7=f#}Arjhi%&P++r*gm!%!9i^ev8;8NRohS+)sGkm(H%p&$kO6GQj@KYNz+~ zAeZ6aZ0tOoddTFGh(d_dr@R+AvZXqytog z3&BH5_My8f0770E0pZz%qGhiO zH9R;*=G~ya{XUq#KbB5PeHv;rFk$$nF*0PgvP6}Ew~*X~c-K_J#GM9imsZHI+jlrH zv$G*Ltp+|_AVx7Tay1ScABNWZGrJsjed;?eVZHdNpv_Qy%@9+RpE(_T!y~D(X?QgM=r|(NM^U zNebTe0)$^CNOFG9W+k7=-Gc_(Wo|qLauD5v37+Npx}Y#ptlz2yJDJKKj@8Dj_W{+l zn#7zyWW)veA}){^wa;je%#!Jgac4%3zdnBY``n`n116~k7|jVhkfbDCp)NcEJfYvV zbD7I!txqjFp5SwfjwGtoivvNnckRIOnQ5zs`OC??!*|+s%70}>P(addqU0!081y`i zJ>5|alw=zm`f8fqcRHzXy_mUsdBj7IG4wr#6gyM|>kULHFTtQ3$lw-vqMOL>O7oZ0 z7)UG;N2>SJ@7C!=bdOhOyH8o$@7wbU^w$Hva3Hs2FdNy|o+C?qz+G(Cpf$3ST|;F!0Ec(_zFsxo^v{*^`GeP9GC5OLaM8vJXPQBXoQHN5W@Z=uhp^mM;gjF7$uwX&4r`+T-`H zYpFfisMlW;fV80O`d`6+4(qRV$~+E8r3qSHp2q#bGNrQdlC{6%_DS0ENBU40)~Ju0 z&!0A1@D?I#WJ98b8U=NrPw^`bez3q|4~IO)@$MYHbL%(T96$}ZfMXzqDcS1@OO+ou zM&g>ump*y1{ja079I4iQNCL8<={_ud6cq{|p}>nb>zb%n)c?PbQpbo37x~%gKnDnDws$>f0l6>F-cwd@?j?UywbTT!FLnv zpc{zq%~1=v$#UUettU1md6rd7qXB4wvK5aF#nrAt8_D_(4^81P_gtbV=M0^hXGNJ~ za~)O#rQOGELyM1?Q+>f{smBcWa;8F4;|4Vf-gFDWN|tr5E(los8zn%#;<2^FM#$*k3;mJm%5YgD6-oZaP$Xgrm5TB` zd^q<1LzFl-|DT9bat06Sf1U5Y%Z}5{hZpV%QA4Nr_^Hw3Pz;|qyO2Me`LUmb=Y-L1vi{v&t#BMmk(CU zd$|rujOut5IY!k7{4YrLGG4jM>URn;4Q?alLofDuZ=y5muP>j}$&}Ec^%M+1k$t91~jyWToR4P&?sex*%7>zYX z>VGkx2Ql!mXSHr83qhmvO#1$RS^y~j8V$NA5)f0*&lYj)k6CKizusYP)3dM}Ucj;C z%k*L}@{#yio*2?z{%DY&>#{D#x1th^ug2F_Ps!&9E# zUsJ(SBOoAS7JwtSm=b?Fki5}E?@F(N*}KHyZ)Bz0%lAneq`oPgo}w3V947XGVd5iv zqnif^tVV{M7v5B|I;$ppbaQTUR2{N})Z4B<5T1qK$STx#&aQ36QsICTCdBsKQ zjqgur2PNjkrEW7kaHFU|1h)+!()ia({hJXFEH%5n&3F=5t z-D87J>6(M54N`JT-A~Mraj)bL$g#9a3d3t=k1_0>M*7AJZ{90GbHV+em>-Cz5APZN zN=$ijfZfGlUi#^80chi*(Hv>7AME&$V!y7etXvgAfO$ByE~Jq4)&NzLnYLlE&7AY+ zOTBhtn#aR-1arEQqC*;T3LUe`;~^!x`ntKK{7)W1j%ztqh_K}feC})esfLZOX2+Mv zi-4y2GE#BhK;7Er#oHIGPSYoon&e6dc?Mm_S((UWQOgbx#?SM}?|mVCqDWL7JXv$Q z>eXsn0azTH0I5N{Jc6PShi(a$v-h=UA?OK*PiyvVDRAtSLfl{MvcA z71k*ffB(4^zIXy;1-mrgEUQ`QWhC$81`|4em?Pf*We!`hMrE!Q<~1!LcD|LmW0mbN z!T0P&p!;LRqB%(6dAzN%8NKvkzon!q-prr1S+Ta#H5;)}76%h#DNK#8eva6CkHv&jk{(AJD**|i%Gb#%5nXb!yDj%&yyEX4axlMyw!#vm55>a4=9E#goVJKRE zx+q-Ev^CX(;PZAm6iV5ep>8<+hgB>Fyo;UX6AM#OSt*z1$AY41%L7Ip+!zYItNSg- z6e#3b?r(qp$C`=2e#n0$emArIp7cjgRbR13e6Uw6a;z|1x2SO(JlrN6+rB(5`$#($ zi^t;%&|@4BX+`DFtzDyx>^7s;YbmhB2;aGUW8>UzStUdeSs8F*D`GX zL*a=LjkLtB)U(RS&B*P~p&X8&{ChwFND7Cg(7|%)1C> zY>$t$Bc>RQ=3y{8qF!2ySPSyQTj%0y3mJ0Hv5NVe&?)yx5jG9R_)&s?H;r9ZG^%zf z=S;WCnyavSfp|Bn5{npoWnk1wC~Mfi4xaI(P?NEWePFXSKqfa2a=XZC(|6C^X;3B2 zek@HG=vAix@IQD1yPw(V?x%dcV};c|y9r+0`0X*Mmgj-sdI5&XuWTWV>_G5FA}3BImw*khC~xXFt}jnD`sjxm+lM2~^7@bR$N!v_pSXP`Y~1>3Et>;GqArWX!iImx zxl4Rwj^)G%3aQw3htJV0Wr4K_{TcgLL!9B$!ekd~i`_dY_%GQ_yjPzJjD~U$V5MfJ z;9CINa53I-Xu`J(P79X-SG^ft+Y?ZxqG`MbBDOK%G#7pV!W1WeydKT(|EYE{Jth2N z1r=0xR^()s|C1t-PK?lMAHR%&{%Nt&`wXI8yAsjTB0@#{!%qQZ6a&Vd^)%Y-A2&KK zIDuEF1D`u2!*-0haX;ppBFq+u%k@De@ak(T| z@uc;yMw=bZ()9tt)XnIE&1g<9 za~cun2{tpGUM&0jh(OR!uT?BB_iBJxW&7g{R@%djq{jH4Z$1cZv3+}aQTaC* zl3G231Lar^mjDXGrUR!Rw7Fnyi-gT6^@bH`@LShkw`rub?QgPCVph+a6Ib-MCA%C( z20G75K7C%_z6fC@*@4Sg1JPD!8VNCPdFBH)hE;GOI1L)yBL2=hM>4l@;M}wEaNObH z%XlmDAMc5>b*I%4fbsrb_wHH?89ptC7O@&f`GV`X?K`Fh^6Hj-iOr{8Oj9}60r4!y zEVfh+-#u?YwQ;!F{S}d>mA9iigyD4COEZZA;V)G9nVE3ss9k3DWNbnS;FT|1$r@zG zj6JbcDF<8WlS07PvV#Z@NiEYHsEY(q2&MluN%$7?)(wpSC_*}%yJdMK^QL+5tHm%6 z--5w>|P#TR(;!BgENwE0JDz_oBl}$JTPcfx4_EWgkYiUsUO8%@z1FO1aw z;u(yne^+sV=%mQ6g}s|X!D3V(50xX*^ugEqMsReS0e$EoN4V&&E0y|oF7onv%?=17 z)aS+iQL5`}DdDC0Z?;H$cT)xqFpKDsuql@S3Zynn=fofBuiCzCvVu)GG*S5RKL-b^ z-w5(&rYq+KlrfK>!J(Xfk4?#bL6YfKkAd<7AVmT;0;Y%Zu{+o)aqG8R*vwOxwSD&E z82Z6|K5H}d#N<>jJ}mw+(ozQ0_4A00*{HTPZS4dJ?dc`eoU_aLrGh9_Tx^U*|NV8G zNGZ-fGHP`WXGkabHmC0&97zzINf+bVNX7!omfbMd=~_5P+#Z1HB<4wF1)d}PNfflH zJcx{5Uthmekb=w1t^HxyCd?@^j}AnSH7StIIHX9DZ# zAA22VIR|#VyUOk-LqG$||TufL1j1x;P&KZ^>aNm)d_0W$1+o)G@(Wo}P?PCmdH$tB_Si!IuMm z+3Ud8By6hMo};i1kh?(kc=It|w}=I%0Wy#>&(xxc7x-Vb63<626QXlP4* zH2g*oh%yRK%MKyzf?WWdWB>S^95`)!;rR+8f5b=|3*lJp5Q)MLWr1N{sH9#HnZv1A z=3nM|c{P$MR;FC2KZaCxOJ}>2T*ZI077U0Tx@t@`D%)8@#@EF}B<#!kdKOmPo|PPF zTgADh(b?xy;Jig#^^2`Y#uhc*<1-p1L^P`Y3CpMt+rQ-SusIpkFv9@Ly1E^ZRV+Xa zGciLHY1XSiW)?yHQr`CCG|h8b)U@Y-=1?{I-Q~{4roI{Eh?6-EnqZ3_9ORSMzOM9+8o9KWqQ zHwEWT-&;#-aWleG9wfhsPmd1#K9 z+f4Ax%X_53!BeH)gw0NY^mwL5QRJ|glY`d2a&t4ZTIc0;d1baY>0jq5@K_?$yG)F? z*W*4DME4^~`nOISEi2=UIxeu8Sj4$uzWpi2_3nI0Er~>vF9df!ji|<^M!LK}o*wNT0}70tBEm&u0MUrFglLKf0VXnK=84Mx23`@oG$8A8UOOfjl`<0#t;|s4pRw9|9CZ;IMDV zt7dYPi!*&2#6JBf%unCw!F`BG5B-*imTr8QT#$`C$k1bw86%%etz6im@*RF^qmK~% zT$Bm|3jS_b6xa`UdD2{7xU3BD9R=|9E9XD+b$7ihJsl9l9nEu9V&1ivs$);gVFi_{ zd(SM$a{N7&r+|QIoj$RHA{9b!wg_f0^kN;Osw50w&SLz|9Op5;v5FJkeD(JlI`Op? z`EQ(yj|0#SV14-tfQ8YvWx-E}7cT-G$UiH;y&@;6c~$>;5DA?sVXQdPWcq3#G6{W( zAIwHY0xGykRIN6gF^)J|JJ?=Z3S`}p>FC9Ntb5_!T5Y0ifi(MKYc-Oqz-1MGrVs^I zNJ|jICV6WSeU-apo6=$6E<%oxKTD1Z6t4s~|WymR3@$dyjjLH<68d^Am=D;MWuKK%Q*>WRIBZo6jl)#(W=m|k@{PpCgFD$1D=DF3hfuzG`IUo&% z=PW;Pk_%%?>;&+7#Or_1jpXWjf^;ECK%$x63ckzndRN{u z3;#;E@D$hrRdxonXJ`>(|I!T7>KMRzV5z5w^}Qhk9DE>~P*?G(91F`h{K2yUr|tW2 z{b`Fx;8fo=`;8Mm2`9MwFwFfL>j%7v?^Mmq<~M%@%SKfV@3hGu>+UUJL_G$Gg(r^p zx_!>1cePvxe3t#3mTVyvN<^UFLxBWe>P~iAV?cQnPhfypV>O0~Kh*$e%)ek?b%zV1 z9KEBqm$eBya&Zp*2+`H#8vCg?jGw2U4N*1gi$ng!llMg>(Gow8Lgu>lv}MJAwy57Y z(Y`WIJ+CR=`9npFmxs}<*6b!gU$n+_;3)P@I#7Caws@6hqJr&(N>?f= znr$S)HXKIZ0R>b@+3hY4vjU8VP3NuGiAwLgA6JYN%1QqeEwfFz-%FaW$%7uEAB~_B ze&e)e;eB}~YZbIx25nW%*Y5(U{rIWbmPc8x75UKFN@hxR3GA|+;BwVCFtTpTmv}ft zw;f%Ma@p*X_-gOHnbMP@q>oy~Pj_EP?)jN#MK0B+K*KG>fVXngNb+IbdU}9h_KqlN zb>lhp7L@#o9AeUS;G6^f_+P?8%|ei~6>^ZfYml2vkHqs$;x&615#OJ)Q{xxv#n_zh z^*~MlF37kEC%XxVWr90T!{b%0vvH;gWlmR0YhLuy^2ZeN{=3Jrp4u)2XoU)OZ zr@vx#e<5P~5-;O-CzQZvw}s`$*yFM%xrH2}Mxr`mYmHd_4lU7jWOJXN$330$x;5=^ zF?61|WOVK9VO zF49cFbthyAUjyrq>5Hedy9=DR3o|O1ZM%j(?nH46N z-)49TR+{$^q45?XG#*XKdk3EVKfhR4UhT@ZXrXJ%Y~rAY_s)kYQ0{2_pljR>+85YK zF)X_)=qBXryv3U#%g3;rmSxq!#m&GvhOH?br|IS#7^2Dj2e0q+*GXCunDYtHj9kAG zYK0!ck9!nO@O{{!R|70=JBszPZQP7I%2!dnY7J|7Ohb31oSt896MiDo^zBci_X4~b3?TSK?-`|fZrhn!C16W1vGI7jY{j_&R4+==)eL;&te?K}t_){$LZpaG?rr zi9AyU!DIZH=j0UlKS@%4fT6*__FMI!Z=4nSseV%z#ib9ZI$EqmVKbqHys6H|;RL%M z^yK8T{g@-H`9OPo_@tfTtr~>qt+%l>2I&0&K^Ki_ShHfyg6PU3FFbxzG9Rdo2YUS* zPmcchcYi>{f8gI^;o_bq=?*BLRd#uegjaEEr*n z_8^);LlwL7ER|TP35?GQO{`d3xpG#s)Trr!vl8EYj((b9t6!lT%*OV_S_Md^V^iuf zFN9^5jrl%>yt^=LauHV2X`3WIp%EtSrNQE)v33sO73HJ(&bBJ6%%5sy8iV)m_RF1h zF$QW+AgSkqxEBLDAgUTCM73P1=bqp3WSyT7V93%Y-zqz=*Qne|sonpeSfw6RBl zIy7RyT)M{NC4iA(M(;QmHqNA4K}&dl=cBSlK+nmflL6aRl@FqwyOACs?{yX*swd+v zw5-h?>pJkCD+*^Vuzff{0dNn zb(l*8WDg*p6F-CGc15aokcbz<%cv*Yv1d1}f+xFQAOG#RP*xyO z=P11zWQC>3vV)zk|GS;I%%|)}qXNSoMwcP#yb-?eq1`bmBMkVBacfU#f|97TWps}w zZ*#9UCj7iV@~gH~`0>#`U^Y)f4HxDcm^kejyX_VC+bWk?fy-pt%zOsx&2%$1`Frk6 zFv}B`@++SgCV$WHMuzz-zXH!@K~eeg=g+?Y`@Z#W&dUui$axuSbc$sFiRuTBs=)y3 zkLWX0;7GgwW7Y0e3`_w+jjwKF~T`;*}WOV!rRWnU9W(h9z2dHpiM_ENaQDVocH zhx!%SE0d0{HWb<1s}NK8P=HICQAsPywzT4Bg+=9J^yA8FCsJDXqYp3oV^rlJdGUiM ztYQN#u^TgA5BG)}kE;&>^&YYIY-4^_GU4&FbmdRGJG~@7Xy6K0^~VlVqdMRH@;FL9zkM?sd*f$_))B@=F7VM} zn4N0r*Cs{^;_u12jkH*65(Ab}kHyA?61oeIK(NOMLyZdyZIv)gRmD4JJ0|a zqU=Gck^~S`4w$}~+tS)DsvI%Sw+a-xZc2u@Gs8~j(j|0iHG1d~7ku7(z$;YL!{5~{ zG>6!2{ER%dq#i-Oi^IsWp%@0|($xM>r!_BMkJJ~{$4=WLd(zYEft`m3MNzM^6>B`0 zP3@~csQ@DT^|DfLrU3iH_KVm=gya!yYcnVKmQgcgL3}27+1^pvRxzd9F*V<38*naG zrkcH}+LkUm0+q;c@^iO4*SvaOwuIM_Npc^{H%0!Z1y}h(FBa44RcP#b%Ak3r5m%Ab z&DtcyIgq2^=6P1eCf@+wVj7i^hYe#%ij;CFk;M1os7u`fDQEo(`DbcY|xev=A|MPs1Ct>}5Ms1R?HREWs+nXDt>8_lc_h2^?BftL|yv!V{F|We) z#E1&N7M2BPE3V{+GM!CU7|3X0dzv(8OvEYf1HLo-7(qZ8`@}(8*eA}CynF+;2i+ZP ztu(ZMJpk*fmxLXW*w-d&R;fq+Q+^O;rXf`81l;(|nM3f4J0KK7D33We=&Y{AJyB6) zUFn|T-S3n-(O@my(E#on(tR^5{g$46qMe{rN1np4Al*VlI##PM zP_H$)LCh)vON&h!Bp{>fx%ZtP2fh*HQz>_Rj<%(B2h7JhN$ie;jb?R236Nlv^LtPQ zsL0J(S%QhQmi!+RX}rJUkK$kyHG;5bce=Q?EiW3ge#q%E>yCTX2>boi*@sWBmNUB{ zsiMNvj(0X79cR>91bJSK|30+m8Rr`^F1_{*G-Vm{0M+h;j(9%*cH+|<{1{F@Nfq1) z?U@z?m(IpFR@GK_`un!ml{%wkl-^y=BN@4gb_@>%yM!ZVJ@;^Xr-5m_sm3`DW<5j- z9IpO=8@P9)ij!Zs|GuDei@|^LHB+;(?=>ACF3Kn2lh8hR$ULG8o%|sJNKVyueQj?( zzSB*TR1(R7tb@tiMJ|%In{!eRi@>fWeRk+0L_0Mg{G&ntgRZ!(Lz<6X;8`)lmTUWS z`r&u7u?I9?sx`G?{R7kZ`KCea0WYJ`%A6jMxiM5)l8O*L_ogoL@xnO6W!9sJQeBxxk~^^okkFh7T_rIR(PAx2`2DT>BD^yDG_edz=`jd@Jaa zpWGXG*rvtF|L&RVo0-%3ngiL`B_!VK5oYQjwzpWDZc3i%j)Iu89~n^P_}(~%&ii$0 zHN@iHw%uPpKmv8$GQB>shF_a%@Ja~HEGq@I*A=(w51;3V_ssOnqeWJ`x62RO_ODYB zvC~h0@(y8?_Ws_d&iz&Lt(dgBv<2O`)u(aO*m&7m60zp&^8Ig)UihUe#5gDW!;M8z z7gm9$Tp?19kL}E6y#IX#3|8;XJ-3$eW~M%j54$er1}GOEiyOd-FOH86#--3<#9S!= z#Ia(V;AlaP{zddYd(RLqIYA8iTBZulA!!!YEbn)A6nLt(!RB~&W(H_7+ANdY{e4uJeW$d6>M=_C?G<|ORYL*9~i z{$p}#aDv`Y3;kqtJL~x+xM@97pTpUa2^rF}a1sd+xx9jCK%23uYez+;SZkZW;pT$a z+(Hnw<{7bQ${2$A$fR^JYGx(liFASe=g4ygwpJv;xnQVMfr$rQ`cvkLPg_tD?Fbv) zFtOP%p(G3yf=lkFf}YchpT8Oay8WALU^+4*4#b{#U=Dc}!HA-eOCzxzm}0UgIjzrk zARgugHxjjH=<{V^A2l3p(4%;DFcIS8+Kv-}{T# z`R|-Vhp__dgqL+|y7Kg4^98*JjBNv&xi4@m2_e9kfJu!eF>dH~9nj-nzz`*X_=s|% zq>4IbZu#X$D)acY5>Iy#UabdogzI~fh_r9lmEVePp`@bt6yS!Z1vmVfi1oe_s2fEr z%jlm39o61F`)H4zO9l7OrWFrEW?~eRG`|K`TGeZ;-@_xFDT#!3o(u})1t#y_P@d5l z21msMXkrY8NUYRML`K30pqsHD%$q#molL+YnUL?aBIYfO<^dnr@IyM&CR2@08d|`I z&0__OWdHe1^oFEK;Az5I{FQ$rC2@QmNy4VSLtkaU95a4_=c#b>Im@}a_s9@bOKo&fJpxeT;AXgtdzo)U)k(% zX^70x$?4pa{q<-c0S9$EZpa04FX^Sp{>}1p3JHaOLu7_`D8swUH&^T|0BX<}DV((} zvI_ycaVZiay47Sqxv%mQiH?Q=@cKuePE&b?BrEiL*W7eU{$;qJ{L!t;w9sU_vAu)G zUta5R-+t)PZCooBLOc1z7_#OT2%3K_Kniw{0z4wy>KJhi+%B%Lxicve_J*_+cR5Vm z%-H^Ni98M6Fa(7`dC`^unF_Aih*A6LD&>USuNX3%eRIB!-uGTF!;8rBIEqEaK(|1k zGDN}U$14x@#egtVy{MbE5;B8u2K+9+lCXc1Enqc8d`(JeKn$vL zqEVvsh28pY^*u_&dJd|Fa?j87PBL)$PS6;(_Pp?-I+bGn3O+&}cAV{X#N6r2jiy1l z6!0AVRX(u`4pB0e?(Q9{uZ+L7eDiyOMpwAr6+JPLsoj@k0##zu9>NUB9fugyoAYtF zDJdZD_yS>%0z{u^4V%Z62T+zB&sHl!D7mK{8z8`pRC-lpn`wu`lgpNtap+}BNeD!v zzH&60aQ`#-jqAmk+R$`23e}7=e+NXE+8@oIA9Ud`u@RX3RN-fuU#x?^EpO!P(Sk%Hh0P0((zukU7;!|m6TWf{h>8Wns z6GQn1XOgHrb&TiIcAZPTr$U?#fOlP`mWARMn4HBv7I{yKNZn zBT*Ygh9qZq&$S5xV6ZUOhbifQlm;E%ySJY`3)!a^TZXig^--_Vpgvf;fr+-ldVAs| z2PrJqA3I{(yOzL8M>Q8tfYq<#HbYOo-=Fy=|8rdA^NSwfS(@_znk2yuImft|L5$Wd z{zYUz>VQGO*?TR3rQk0FaIMxIl~{rY*`9!n9%vY`gl6nO9LA%t+ag%CnJLGa^ON7w zCJn*Y z(<#1Yy;vwI6>X8SQTK$)UyVQNCNYGXUMaTl(>t`8^0hhB2N^K`E%B!u3`-Yf!8dnZ z26NuW<~zkBQfqMU@(ZMxo_YIc?V%5J#t0|L2U+ydI8Rnqa;;+O_`c|e{PWaFzG{gn z81EWijHbTVA`jzNXc(x3FLZV_K)mdjRFgpdPmt{8t_T6h|NpwLtOA5O4btSwinkeB zv664(hGWSM&xnacR)I#i9myy+7zD5KX$TNB&fGSftjyC!RM{Mn4C2?5Z|{Jjr0C2f zDLZ?nEDsBZ-MHB1bC`JqJ1w214v3S$;B9VBDkKGi8tO2oc0>#!dN|X-mJle4w2A4ef{2qXDetv(gIwr;|`@mCJ zz#&YWb71fUy}1n#OmUeE4J~L@-#8gcP{*jbvn~$D z(KanJ-&vr4mWY?+@xI`aN}}^s(3Te=W$f^<%z;x5UPHLl^6p!y$$OS4bIlERvrbd0d=yR;L=1L~tvgjwSvZv|KOEMy2-Dc&UDgl@C z(trpT(O2dDS?kV{*d`w<=c{p^Ac@5$COvkMJB)gJw0qJIL084Nn>zv#1Vpt~%uJB& zHD-_fMiDEBJm-k1Ctp$S*i7;a3*MpU$Ufg(&SL>kh{P!2gbIj&Z<6}2K&ns^_IR>o z`Z+C&6EhMohQRQ+1dB){zVm!K)N(h7@!)d32Gt!8vDzqBZw_>Gjz~^|PODb72zr#5^L}zvtk%mM)Pms3!FPkLHJF)WO#CBhA440}D zV(|6jD?lHTBM+tT^{xQCDLhpN~vm@zLj?seG@oWE&w&h46`Ox)q` zr;Tx-WxGIV?XH2ynsQvT6#=6$2*k44sAffnV~urS4pVBlv6$k!BOsQ2G*3G~tP|&8 zI@vda%tYQo=4m88B64BnfqI5hI#&0ye)I)ek4n6N>bnqoXd)eo2YJP%$idaX5dJgy z2cmX7s*+}(DW2ow0|yls6~#>nfb=%I-dXecK3u0|YW5W;W29c_>SN`7SlmeT*ekC^ zJ--G@>@@sD?ZAJ&mhv3q`BRFT8u0}80;v~gpFd8gR0)vj%H!4Whi$baTM>#X5NodVWBB^~nU*u#&QE;% z4s`82xVv3aWcxg$<@O5@xoT{UZqde{BNL{5p^BkVhlj-fJdU@%BaVmwj|lPkD)?eY z5ITMjbH*TcVh9m$ub>k&siF4dN&|gwb~D~=DVt|BCY71kTMC)fwNwQW{d_JNO!J>@ z!wC>G0wsx1!|pvUTBM_#4^R5YyeRsm%zOI+2n^rcfe>VigM@^$r{3n@`_^<$y^-me z(L6@)o{ucGz`y|t%?yI~_L(xjgY2?-;=`+Aa;duvHqO}(pYGu2+FE~~lIMuK(=&(UH9B1dH<071q_Ss|pCt_W8ptyw!N)4V#|tGw zC@HY#-QC~$Q&#WC0;On{h>!vCRop<8tDOD-*6>$kg4;c-`uvV4@nvSWrEU!X^^%eg zyAh)Okm-a+YPo=DDE6mJD7&u(f%r|} zge+Bhgt(kRja|aur^ev&9RyxQ?{>?je3Lz3KvYo)*^6>91u;KxiOimiNYlM9&O1Eu zNm#z5GC!<-D=0oIeu=$MT`!wQ|K{2L_bL*#qQ^AN^!$&!@BO&E5_0`o5Vz^en`irM z!+TU@Eh4XHvmY(KeK;gqJo)`#)$3bB`lfN&@TOGvX6nbG{Wm*fmKh)A4n8!P!FA_> z(n1ybrP-IJnClN=C*a3DvqDEhL!5q`LK2>736^H#jYatr<57rf9L!9)Rin0S^OLLm z{&c|E3dL{&wq`-!ye^f-$;*U44T&zi;8h90c}c3R42L=~6x;h*>EJ zv8mRJ+J_UkQAV$_kmHj_WGV%n@!zSo5`pA*nhdNziaH|ic$TIpSQHl(+SZp-Kf7M5KDsK9)7RE{4d+JQ;&xCY1)L*Q| z6lG%4q@SwxCx_g1I0_7v@;=;s;Tx`%OuPCjz{>aXM2)YzNI=LgZ~z!W0p@)(oKerw zhk7BMh>s&v2T?aZU4R;rE(7Z>0WUc!<^}jIZMo73chB2xN#*&yDU0sUdDN5X7k}ZF z)=^=`h>6+cchQUu>A~TX6A-EXC@OyQBH_ZVGnXXrbY1^^7vxEG@CVPM3jV%^N!VQa zk519k;>)BF zDu!gPgePH@3Fev(UZn05r&_K~1T*cTkm1+2rx#Na@L&-Uos)B5hZ2y`3h2b9<*%!-LjPLUJkMwB?9tY#{!W_^q+cB z;aO?Ww#=0Xq+Tw)NIY>#aCP*pS{VKbXif54{U!TJX}_IilDP?zF`0uak+Lbm<2EZl z(Co#?d;x_bV)S~tZPk-dlv_t?>9nuM=+F¨ikCJZzlv-_m7GRJv6saPZ`=W;WcZ z;RzIEVC7bTTrYf*!OR*+8WpTR$128Vd;etJVI6U>%1% z2hOhM$6tkFvfO|5tfPX-ScDMy2_;EPZ4eg{%QkyIVxS&bp7JP$(}6jfz8o4RyYB1 za%fd@Y*&));6+=)N9Sz6j-hR)Mj>+=(+}0sZq>sc*)fi#IQ-%+ z{AwgnPXCZlG{~~gcytuPfuFxkWK2oB8UO@>VHiGQgxwJ8X}TA8-+ksb5GW3da`~~A zn-(cI(o!QIoQ`t|d!3PC zSeCew2g&>q4DGuEh5+}!v+pMP8S23+ab3WwH#=zGhVjXO?)v#_B&6`V`tn>g#QWzh zF#KErNlXA0O2S)En}LPYV7hfJ{Grc5ftjQ8D*Ex%A&3bLT(R(kG(5t7rayp?oQ>HC z;i6ys) zgzY~8)Wl&0;&4a;D%=5q5K;Q&T*n+NviBC+*zQcjpYnsW`V^^hyKJVi(}(=hXr$vbp-n zUivDo8>jgNs#bq!vp(BX3p#L-7h9Ek9k?QTMFdSt&0{1&lOfi&*G}^tSE^>q9$hx8 z869wZd*;{7LsH#PpTl*vJ!Dq`=S3nE0|i?*k@yzapE{tI=S6@){UZHqwU1nXjT!J8 zmMqz0WL_aogPTY$l}KFBAJ7U9;qfzq5<;rOb?4!lFcI2o-=TTnxYc{+UF<3?Ucu1`>eb0E$sr5)DN~k(Wu|DJLk4!!-k_E z$4H}E&;p9fyTzOvlBfF}g9=U-Md%GNdI`JKt)IifRem)0TGVHpe^n2wUfQy0uz6cZ z1p_B-7a_YnY3k&7=g~OMM#DV~ToPmj{vd%BxOXY;dz&LbMnpIi%y7#gN<$OLf_!YT zTKWeh;7guM-=8_jQ>LF&QBkRcFw4wWXJ4mf{<&7q0E%m^`4>k33AvTNXrF`n)#*Zdo+8jr{xEp@OSJLU{NGS2mUcBGsDYQDD#ocnFTOjXfv@Uu^8 z?^PawZRFJL35pTo4XP6m-c!1%{co4VK?EMg%u{r*240=F;YP(>d4K zdYNITRAr*8yQN5_`HiTDAsJyb?uY+@PTBWx#VFiyK6odE;mh)E-)ddP-}Z4~xN732 zQ*GVPU8s|#6yr8R3){95aqdkq5o1?)Agn65A2#{IfI|oXW!91Jdm6xZ=_cHM@H67E zF<3>BUxL0ihn?Z=X{j}aoYVFM71QZ}_}57&_Kp*k>$LpQmfem=GP6Or!UIBc-HTzJ zL3OomZ6|C*ORg?ndiq9{55mI9f_8Kc!wFtd)B1+S{!pQJ$SGFA#XHb&>dlBPunn~G zo!CU|@V3KRWKI72@=~a5!B8}jdKWT&fgbN39cpbpP*|FGV<>;q;rt&UFT#t$gv~rJ z&a%Iz@%R-UQrKtax;v?_^DBKz`bMd#tSyxt&K8}t&7j$Axvr1yxYu&Z_EsFBv@mw# z<@`_mnIKHBq41Z63rgSjB~}29H*`Oj$=W_!T-H!j!{^3VKvt$! zY&7%w&E&<2^l2?2vl^ZU&%Dc#{52`!Rv`v-6UyTJ!Adz+i1hiJ4rucz#u|qf6ToyS zQLD6*7&|*{%z8n{{cZp6Ux)DXJ-|U}8`xEML*VZ=-J9Rqi>9CBu!xw{IkIKhJ9k_@ z+St@kp{7^zKAp|)?|WFjn`tBS0yy;u>}yg$fE z%SX(Bg_>TjBK_81sK;~V_xrhc5%lC!%jeeUcLvyIRks5ja8?Y-gnk+wnj8#8_=w*1 zTjuj?aGAhodWU7!+1?#-_{Hwxx%v?s9tLeggPs4h0IUhDyR|gJ2RrqQxJ?+rPaJ`i zkxwA?ik~UFI$p^1c1NOMaUlL=#@@!jgZBS7P*4yTh%^LTdtAT1m+;f}v7ktGOW)`vfp{@oRTUo~e1rI(bn~Xslmt zsN4^iFi&p&9^)eI0b~t^Mk}m>@G=UYopvVFifkRrEQ*|DYidEyL!d7Whizr9M6&A_ zo@>G6$})aKniZDGTHtx@hOT=aR4>OlCa&VbI<5a(;jUP|rE- zhGKXj>}Z8DZSN_@>)qc{2Kx)N7h&~sn4JGOMyX2bQ07JGb!ViuEubdpSFC~@lJ*rj zy3Iik?eSe1R0&5Kg!CgeiboMjjIV(Q^A`+!AFbg;d`aj{OUA-~9er}%QMgsK3q4cHdr9E>3o%YrT6Q!0-7<^E z_cDaz6v}=ki+9G`G)Y#z&N%W2_;2X?_Ov``Rb-{e_9Jy8+NOz6l=;~9HxQ`U;-IWs zy_h>MTRtcZ_gCOfV5OMgbb1hJ{-}~wj3Dqh`S_g<+{qqhX!t%4lAv2Dkdhtv{tYw?f17=W}d+Rfu18N^|~25Mm^j)r3%p!H=<~ zfAK!VHgl8#R&!HdpPG-T6|ebY4=K63WvNmao!KK&wng2Nj^~Gz!SeYM*J6DN^h(6`L zG#`GKQVIld+NE}l!yp^^NH-}Lm<7jcA1u-VG>-5t@moyG{lBZD{MEPg5i^rN3oWPx zc45_rMt|)03ZW~*mF~sjxQI)gvW`ks4O8K(7oSg_5xJ?8rMV+K1cRb9h1B$zd*3S10HeF3Ywz&RRN@1WB@JH!7FG16ce*wX9 zcIn_GmqC&55GDstSD#by3t5DC3f!*`scX8-r<5f}ea5Wwzd)=nHlDQJ%WR=D^!|`-5D%4EhpADV628TsPA)FQT?%M%zWB1 z>w!|uo@e}c^rb#=eY<6Y-7^pIKziwdyaq-3x+c$b)=ogL$DNb!H+5XOvl%NnXq9PAcgp=U*D;9%8H^$ei8G9Xq2%&*OqjUKKgkZ z7XvHTHp~vc36m4Gm?0J^W)bfm6^a}U6Cb_Zqa?;=6l)tm&Cjtc_D0ym*};5V=gu7g z2aZ%ZFArYL(roP6^GiWbLH9S1&2rMu?#IWyBuKa~E66`G`1N#w-aU{w=vgP}tfNLL zT`?WuCEi6s>GLkbS1wL6rUFlC3+`cS{=&+`GFg9{LmzUSx>fkNs5$LuCA-`fUV? zB9#?MSy!JCNt?vd9z1P4FwL~i4uH9kr=4z?f=zZ40?BR=sePOhGSdzMt7JR4mIvb& zc&{^>)eJcX*|xx7kk=qfSXmH`yhnrDYBm#|h2iZD6*_JGo~ssv%!Ip*-Yd~{yla<4 zK2p}ViuD}1FSBS))0mG1%Yl5zF}VB&WjhiEy*fI7n`&79{W4FXLgx{T0-z1Qrd|=6 z>CKbj>BRdhWYB74huqb5%CU{f35j)rSVZQb@{!Yl+z>njWn0c@gVzUenUjF0Em4Qy z7VmRrXk+|c5}@pkvT1kp9KB6Q7lZ)i|eNh9s``OQkDB=>%W|lAi~k{mmwi z^&+3n#um5kvHah2eHy|EAh5Uv9Uj;G*CetB6C*Et#X4Ycw0asE3rlxG*+?-1H0=JX z#GNA4?R@R3aJShxp~E1bksLL^;LYwuv`XpXC+Kj%{;py*Y(?l<=MhJ9giswX_3Y@xuI2sEsAtpq!z(k>@5sUlyu;Sr zDBGX=K6y+f<6VA(xI(qW?`CzdSoQVwB!6GC$_e<=-KY&ZINL?pCF$QIlNzjQ`_ z{mizKQ@OB{7;5|I$^je2$GFfgtOsrGxihAaAKgzn8hu{!I!BU>%u!g?wSlataV_NA zkNWlCqWkaUQJWcY7@tLH%lxKu>Dx&eb=o^XI4V+!vb}BOSVU9b{EB^xs^{Ce3 zbEp`ilfPU4`kJr+G$ct9Tn>;Fb`K*bX~XyyK&?Q0X+Q)UC{yO(VE$R9C zhmZHn%TC+m!fZS5>{AHF&A+(5HjyQ7v4M)q!n|OvQdcw-2S5)S@-l&Hj1Qjt!=iK? zVDe>*g2-9kSQYx`q3hS6LXP2Q*sW>>bNzkDO$wa;1X@iG^rJ?efM`isTzB>+y=Gej zuxA6EL_RPeujB)&*I`krjh8e>FWD1R=u-(VnLMLHUdNUdvh0MCvXGOBipMxaom&(} z`)&+kkl(nn?TCgR-_RGjb$D4;Y6_%8fi&7C5QOf79{UOiFr=fkZXZU~(2y<(-3}4+ zFhRr)1*5(_Q3ZXJG+gSqiIMBK0;7Os`U-epT?{5d)-cLKG8m^1wfoQBM5mZG4DOY% zw9mh}_@2)Fn0|LVB;@BXRj5R%YriaJ9yNEBZPP)`m)XY~mN z75*Wb!$q4f#A>hm<88uv;GoZ%;A-Ev)kah3s$nfy*&?tIcsP{@=U}>UjGI@;_+uB zRUAmbbAGz4QGbi@avex1pW2%gSkRJ(Ry|o#kt#4yzNsGP0;6`OM`0p0m(78Dks^M| zx*47^WVMWprBP%RJ*Vu^l5u7=>KjcPcUGhU^t(K7RU0?`-ZuQ=c(8c3ZBk4QQ}nkF zhnX3Gp(sU(2s-oXm`!V%3ridElAGW1KTHCx4BZ)FE_FeCBaR}xPW7<7JUqo46U#8Y z-002U``4dXDp)l=jnCft*LOGGf-eaJH-w3Vp3I-{1$2`Be2pMk#rDbRKKVP`*@bO2o->CktpHaY*m1lF+ zk@x?6K(J}uu!ZV+C-6UhmWPK=!D7I4_^(WWzdvKBJbe79a7EO^|NY&~zyE)|h(a@7 zfS7mOKA6Zf^#jaF7FOux25|6Cv0s6J4}w)29dUiC>&_mCR84`K{uMHE1Eg3viU5i# z1pX$Y@(R{nsWsJeT}3G-dGG#nqWO|`!P)GPHY>BJ1yu0ic&{dD1Bu92h_Bm+Wkv?f zAqRa}@XL zm0UuwP+-k`(ODd$;wQ>6o<`YI|Ad<2v!p-8weSrR_CKz0XawTQw?*sKo(O1n-?4p~ zoGdOfSEJ)>$`UF#{`o~9qFv;E(+zdlJCOlP2h`(CN03R#q`Na{R`#Dc{@=SNv=7}@ z^ue`?RWF6wBxy=NkWgrB|A1jRz{6nxQwlVtDfNN*n2D9ueV2RCXCE!GKADeqfyEI4 z$P-~k&i}mzTL~q%Ub;Or&UWqPC6&T~o%?b&Pr5Y~u)e`zAQgN~wO7@*jdvC%LPz^R zTM&Q5LLDE4?@B6pvUCHvk785GU=ZPyN&6n62L8Gl8-KZio>`hRzDzQqw?dZn(1-zK zYALW2>86UE%sYC=B&+sawfilo=C!)T_wBn1{$gDh-XApLp2z*;%x*5r3TSb-MbMPF z2&9A#u;7~LuP(6y&{u&6T{XyW zOa+Kf@1)MY$uwSm!Fn0AUzSkgv+A$7r2O|kZ#lh+@GL#+BvCtZ4V<_vkkcegc&Hz~ z22k!5n9bH4<2l;wlKJodixWkQl|un-pCP#l?E8;IEGh*{MQIG>!OtoX2wD&H`~5)7 z$|B*jePeU}=V5EZ{U)NT917)tHL_~T4Br*NE%;|>xo4w8`saCLk%F@gLtCMpE6DFN zc^&X>opY|>a-34F0h_wk3`CkfL4is{=l66NQ(17}^L9_F^vYfR*FBJgGH^O%EGZnP zz+62K2=3=$_dlq(jFP^eMbaHBxKv6T5e8$o&yhCS?AHKjMI@FxP=T5EZT9DXAC`Iq zoB?g>jFZ0v@H*q*1AxodzqW8n;WCGL+iuAF0zfZ|%fhpy7Hl+O|GuE=5w|`Ty^}{8I8?PqexQ_(~cZcit=}egwV}8v5!(%&naymnGHl`{q2z=}d6-3oi%U z@H)so7+kQo%X|!f_Z0wttCgDN$$Q)@?mhR@hc0ha;D28@+y`{c1J@SbFT*qTYHs-X zFP+?u_2=34cHy{cKaYUTACVKXx5mWQP=eV>^c(lRTich~I4j z_0lOD@a*Ml3MuuQKkWn~+mhPP#HMq`P{-agD|u5(Uma7hk#h&ri*(!@(t+jSkL$># z`*(5wFObCBL;C7ScPB2@_dynAtx+FF32OG80r383{`>wYn(9?LoyBP$yn4io7ca8* z%-fa4&yb@TrbWF0eO1%2z-~|4LKMpZ=>4U zd-_?PUUJupb(O$aNAWQkJPBzTiR?Mc%&LPPftrGa+cs!je|`4QCNyl~QczmdC){() zjtmul`cqSMA$%W}9x8DT3;hN}i`K>xdFx;&gplYFu8Cb`j)TC0c;&H}9HN%1AvSX( zH*}3V*g2y{g{Me5ek0W2uT@1W1K;|@IsujsC+2pZ-TSKkxVzoRH0Rf34RG#?o3=UzvMSqzlM2CEx|XBw%={(Aw9 zMuiPyr~000YI{yDW>NmO`cHiZ9g#wkIi~t!z&!{&JPPa0j@WoVkjH*%ZZZ*jrZoa?8X`1eMLJ}w z-lytk8#_sc%3XWK3WzYByOvE%qb$q3I{oiO4T*Vh=f|eoghSb-&on`qU0fyDa6}O- za-qV$3Q~l~jwky+<$jE@aU*S`3)zV$i9kkCCs?ud#6vjkuGdv3?>XYDh92Sm(EAT(t!a^jZUaKND6Ha9DS9B* zBF`d$Q7FTMLD<-v!S?4YY;&g^gB!X_Pxf4{aj`|QU;q4ihej+aN*Zp za|XH;q}t<+r`Nu{Rb%M>2)5JrjroV<{?FZ$I zrT_UQ^iMpptj4Gch&>u(5`yZ``boeJ35x$)+~^VjS%+Vg6RREPg{?f%3RII62BBJ8 z{)d0<3TVeCcn#q&pisPqf}B7r64deELLS|8;nZ!N*?1#bdq&8$B zM`NQZ%tT$xkQbQ-7EF8%6{hZ2(57K7|DR_9Rto$Vf(AJ{hlqog>G_g9@6TDxVeW(B z15(e1_2#7j#6VY0Gkoo@Qeemivk31|kqeUv5IrR70!I1wn_wxRbpmAhP>M}F8m?f^f7IshDw7aO4)dO&yFXD37Ch1N;_JM|;DRy%qg(s0 zSN?3M32JM83x7N;jcNFFWIQ!#%XAAR{~=Fikv9delejimZZgH+u502`c^ApGYgrZ7TTvB4xq6f1b6(lv?AFL zwvdvKU^=I@TS%GHBm5W$Rohl#a>G%X2}N&r8q79Cpd7l}53GAM7U+)WlRAL9v?FQH zx31eZu)DT#6K=z3q8EXm zO+AMhpG()zeUA_8H>J3!yB1uT8hjN#zp0Vz`HB9opZD%#d$lJ~+2nY!l~Dc97vkj_ zDN%~ZPmUcoM<$tVbW0T$vWHALBnQrc=RO3KGLM0!N;0CjWVEBy*V9}wVdxzfZsONI zHvZVvKzefV2Oy#>4V-P5uwTJ-V9VS1WAO?8#M@{AK$F!v^7-Rzz;0u*julluO^tPI1Ii!3z-t;6 z_CIWJsp~o6R+CC9MG)*4l=>8?w#{^je;&F_Y9QB$Sl5|TXhkUH{Hi21;+f;C0d6xg z0plt)W0a#%T#$6b8KdM3oB#FTkD022olcnCB^kU8(yQ*q z1~C<{q+PD0rt*6q@eT8=5mBT&3j@K`W&!BMMZpzuGfB+)$2Vf+%rKB{b-I2n#IUw7 z)ZZwFD(&_9{U1V}RmjE{C=Sq>Tt53Jmt3AH>ZA;zlTu`fk%T7pYld5`9?FK?%WGq_ zCyN)_UG-?nfRfyPAP}r3SXVLB%;b2#4|EFV9f3nkbo79ROqc;L(F`79dqL%;Tr>d# z^W$Pq7CR(rw^bgd=8{({eUJ-u=+H{2X518pBbbpRL5?RwgYjlwoe)x2E?$t^SIxlp>;OCpLs2i=>tqR5$^(8`8BI{Z*)&Yg(03xmi&;D zcm!D#IkkN+#60^{;3IM0T z+2GeF1b$Jw#9|Vk1A=^KaOPv@59n5Z-?W84=11Dm7H3?9xMPd-Bu~e|2GnQoF2^$U z^_j8eaXt=n0cLBu8X?bphNsDvj7RuiOnp35I0_s!+W-!%(8(EIMSfQG+`GiMbal(AGM*~T#W28tgxBCA%p5dvOq?p7!PYe2mkHtVqQqT2~q z(Zqp|KVrA<5w6(P>tKhJ3W_a?s=dTlU%{}!`hAt_y$)I6T{FG-`EJ8pdyFi!NSjRh zz!h#e0rv=r`oLtxix9)BC|$V`n{-uYlL-?Q95Y8vU=D-{snPP27)o_#w}Wlp7p-;W z7K^@A8FjeL=HGN2syx_vXSRrp=h=2@Drk@%wg9IaWtD=Ds{LsSvcAC8@4c_11+vn- z+O$e`tJs_Vgvb6E$H9$3ATHE~+J0e~t{`>Vvg{jc%hQM6U7+Jj@Xx)5E(}&KR6B~Y zC$hrlF&^0TGh_i5Z(EmU9Etat(-zOZxnx{B^F(Il4IILoOY+)eu8m-7>h%jI4nhDi z{sgMmQVRa)MlhowH+4YW!UA@fho5sS%b_iDMa7pEekTZ4a8IgVB? z>^yJOnKTEe+rwC{_OTu*$_G8g`4-8>h^OE4jDMXU;7j7eV7DiIW-ZYZ!qS4eBqoe` z8{t;2hRGYv_Zq0?dc|*y1yh_A&hWHam*oUdO2so}jg8VAGC2gT&?ngTlHgc%&hfH- z!yZeD*>^vzim`7V8VCA&v0asP!;`tOVpoCUQ1J2$$HvgX33YEX(z+W}KaK+fBwy$) zlflMJN4h!X`vN4*yh0A=wcYFA)YkVPBM3yM&iQfeFjZ%vQ7VXBU&E~b>f!I1Q@B5< zi9)qQFER@nLq)luYhhWt^c#7t5TFGYw0m#Dgm+NybglEiZB$a>&ZGC$&FQUlGTo7q z_^F;85@q+mbnx1~V|ODGK0(owg6PlUVBpcV(p<2?Xf{;&eslsj&9dEI#nPT5+8Uo@ zfrBUhrD~eP4<<$wYPPK0b5eKHu=4JV4J;b6W$q`|-FoZ0tAKGr6S6TX!jtEsMu6kZ z^v1g1VThO@L#2g`cXqTcUUZ_m5xc4z995ZogyJ*``U?6vd^s#6*&OYF09KoYVn+pm z{B{kJIGP~+mtFtIFEI{#rhRaKoVO_3fMVthhG1NO#F7LCMs9C?{BRq^u=+4#)G--B z<$+fmo*mNUTz}J#LwbhLj?$rbBVDvk77mpI6~53)20j>>l82H769DGFVZVn3k>3Fd zUjXLv3#R!7NmwHk@obB87j2fZg>~> zFH7z4%hG)Q{V8+=(OkB&xkPY??#}%Vo~y=mYgYPQkdv`T zef`0$Hm#j)vFAGvsRxqTwCsHRE6aM|_O1wOQ$C3&)S2=c*dkC{Gtqh|cNp^?51q)d zIeQD(C<*R1XETC*M#JQ z*o$$Dmh8~Fxki$TEP{^d;j7vi;%%9y;74cQ|8X{c+1XjetXUOi@eZO|p3ybwmv~Ry#rJ>2XQ= z+-(u#$7Be~C!WyM1#nctR%PEld-kbM9Uw{jxJrXH1L@m32@Hof=Pa+#Q=;IdaR`-J zxL5G%eEz#NY?&+d@4cI`Sd``!^jzq<)3DM#|G7WZ-3SgHdB za!yggjwel$-rHD?FY01jpWe=|hVIK?y$M#}l7ETtVoOQPu;u&wA|R$a)xT2hA*5Mg&1Zb{%R|J*XQr zCq8mew;wVf<32>G*hu&01x81(|DD3lf2rUsW zket#`7fh4)JZ())$$=q9Bvw=TrsexKI!vXoL}%mQ#zB2{OyyPMY0*0lFktHds1nwO zn68G@Qz0i_X!wCgrPh=jO>Sty!66x4fUO*HYn>80;iWVc!RWD;M}cV1RQE_xXc-D~ zKelOg;*Q<@7}$>DpaPpS-Fwf@^Hf5Ook%1E0&3W{{vf^W3fM4$E&xT!GN*;okcE7% zA7GU{*&a|1loo-yGr)1R*y5r78h9C9>Ir$zaiAb3?`5wp=b`r-Si3cJ$8(xO7ZamL zh9mc%F=dgVo9AhOSOH`&ePrlZ@HS`++XM~`!=T7m-Yd9G7i0w98t>Hv%k{)i$WLGw zh(&itr~eZOn_;lTp~xHXMs+P(&CBu3%R+IYdElq%B#|n+QR!L33nsC3rhUi3g^U;T z+yRb1B0!FbY7tv39Cgv@5mJgl=z{rtW4}LeqQ<~qlTCT}@cDQ37wZ=;A)odQX6B7r z=U&7cdV)JP3e!xcbEh1+R%ig379PB~9y}hr_hIls?A0v)oOJ7`E#u88VUsmg{6Wc2S~RPPTOS_JUp&i%uJpQ{f3UI!yE zp;u4GBAVRSdsJcfEC`dF8hR5s?vyl<^&N6HOoGH}hA{i-Q36?Lo7sKy;fa?0Q%1lO z=_al!{No&!?WQR<=*vi13>#lDZ$VBUIX5gIJLekxhP-y^`O7UF1Lp>d`$$%(-%521 z<(cDqA1}TsNXwR1bt2V>rS<(Tm4BfpH?()HRn_}9rULBz!r$Jr0?CK;H4uj08Y~Jd z1O@&)`S%ORZviCc>p;3Ka>Z|Id8 zI5EMwKsBQZXuLre;Kx%FO-cPb{<4D`L(8}mcJ+(2lM2}By^qs&WDu)G`C#DZt^mf{ zi>VA@)XyHMM1_gc0BIuyplV|YYK&n2=Dq^>CIqgg6|}{*KxMDgvq9&yAtoN}?x9d= zxCAcYw8{r=#(*Je??q3~GS}Uom&hAp!fI@M-c@@ISFbU6R!SsP?(pI|6*M2Iyx67{ zw)14vTM9{%tQWrh%-A;LSQR%r@b;>b=Jg8%XZyD@`$b1yEd#WN*m!r!*e{L57Q*=I zJP??gA#2^MoSW_FnBajJ9XGuun!Q^nT3#I7vMGnn37>1DDVwbJ+dhj352zHId%hsk z)Kx!0Vf!7rP5kbAD|I)Op8Qf10W+%K9{#|Y#_)58cv;Ad_dLjmF|&!GHlMoEMEzJOqfZ@K zi6niCE4|_BDDle4fe2ic02=m|L$t0xEV#^yc5lu+il!`bs8%8Uu>?G!AKnZQMe9?j zJwyq9iCj(r93oIe0Y9v|p7lxZi)-QGi5(|j)_R}5cv{Hlu8hO;7q_>6n&uY{GHLug zE_cP~#z#>(W63>cr&7+hD}S7@f^~MuNbl+^8dM=|3(w{XZ(?#zgKBgDaXnqx#V z7cd(A=m?LY{Ba7E1fOqA>Tx8}3870;>M~|CWkX|!@#~gTfo1VXjkx*s6I3FE-6cUv z7H74Zsy*L1T$q!38N^6m7q>skZW?olvR$lS7v)pG%1qV0A0`z`5(Y5HQui%ZQb>F2 z3>$nCNRmYbJ#8Lsn&ZA4mTf_YDHt|T940{=25~5EC#ouzGQzF6&0!zs`^OhbnsJf6 zK|<6q@hcW3#IjaTwsOa86`IU9WCPVD=4B)JY9WrX*M%?p<$=sQnvx23PQCTlVI4d8 zV*i|_$Gp0mnY1V z5$!H66PLIcU#VsIr8xhn7ctOYnv~AcO&pin@uaP{(di0F8C?<$(PFxevW2T$eyytC zEg5uoM6Xo?*@cZ#2Q@KgF+X>8ixHbx$mdBgstsiJ+6$I~96$HI9Q~HAenW?>1x$Ir zbtm3J%gA?X8!j%zt(%4K1|FQJw1B;G zw;>$bGU0g=jf5v)3}>x3U!#dj0@v^ih4RM*_MO|O#?;`Z`K8vFu%`~#$K?H#z@#uE zu*h$qUT}!IRHW6Mzky#VG(UuNStCRke`a|av(QWNj*wCyF)!(1*~^m|KwcSaS}1%5 zlfk2eG|(P>d7CZ&C%UW26l0x58v+ZVzH%DS$C((4YbW;|4kFL}jiaYG{6)J_(NpCEaw!`G%l^Sk`TlZA zY9rI6eN;lca$8_|`W9H84;WSlaAq<)V0U4g+Io=HvE=29^xpMg`~YVXO`+kMOMnMJ z!~N3ut$E+oQEEg#lqf35YXwZ?B%ljDY&cNg09&%b0pTjcHBQf+M`z;xO9 z5J}m#xgnILWlF@-j@&SjD5VX`hIaZV`OgotAp(Fzr6Lyv9~QWL0vfnR6y^$fMtOjI znA|-oWHj3MA9B=Dk9Pa5aTO$3Q7=%ggSUHYZ&l9G@!dnRt|c90F#57mVMT; zS?A$$nW%<7N>lS5H{P&Fs9*oFe%^_H0ZQBAWBx^8Q!cE_nSO|Ui$o>mzhI9t>BJ6~ zZRlg*{6^^GblV9s-oQC&Ua=V>goaVk0@UPJG-@--m!u8va8+KoQb-6jh7SCvOGm-L zl9gPy*=LwLe`5V-quSBsqAivcW+DJo1m~a82sA;VFqUF!NRxMX6GevGPlK8IkW8EJ z8U$4(Asq}rV6>n7jggnhWx|p!t_;0{?>R?v45}Upd<_oIKoOA-M~k8nUpj=9gdj2$ zQiYDeaCz?7+bLq-2ni38s9E2e0~+l{^$``P-UsgW)KxP?a1{UX74A!QTUMr~3$Px| zA#ysnl3$tC5@kPiv^OP;^hP5YSP(ZRXBcTcza9airPm-UVwx<4w-$h@>*(=FW1$Mq zjn@u!q1Fx#S92SX-@6N9ZSKnMKVp#9AscIcuGi3S6>LyDnkp39-I zWYW1a>U>VxZyh|ePjxng!-jmGuM|nIkKMbzK$4;8b574W>QPviABeQovM(c3LNH71 zS_gKdklcVrIHFV~1UzK5>SPtSzTfdSH|;wF<DQ=-qBM;xD640avG#|VpkjB}X zzzgfdc@frRbD2HyS#0g^jWky1Y8ey4+|t4kL5o= z(wP^^jsrDi3(D%;aCO+=SQZ@k6%r)iTvCVc>)#ef_=}uI(NmCmX|9stBc+?s$GXbo zi8RE#02Us3iIXt3c68xk4T?O2{+~|bG~|Z~lY2>QNh~XpCSEQ=^YIgW;&$6(v?*Dp zb$T0zXAuh)Y}yWi($#2P+Mf(muLW|~f29mQI3;k#Jm_?0sU_XsowwFb_CA-#Va;d2 zj*3t+H|kqASjB(%MxHVQ;zRA-CBbUkW=2-UMoH>-Mg#A&5AH4KGGD{ewCli+XcuX* z*?jG1*++`uo}MGulLwTWr;N%nGti-ymE?B?^`f%O3d_pgrPlaJ#nVrMg;5?an4onC&^x06A4FKmx@GOM0Rf}v5z z0!Zp~!JmVdTZVNJ;ppHbBTyMKO#2)3UlBbaN9jlTuZZ0}(tbwmmjOu@MfC??OT89S zmE%h7*)SL1s|hPy&&MMHSKMjI0&JsvkEmIKE3KRgcjY*KD)d-V)7T6Ak_097L-Vm* z(L7ZxrGn~I1%5;gW07XnR?QN&kSWbLHN|BU@|$b+OHgTfFnCF%_{~A?n^h|}8UN?e zcd1_`HDpjGXtzR)Xc4yV{$9{os(zX4l6nm&Nf+5oVDo)e_N2xptc;vmoiwAWq+pO& zO03RQvL^%5emm|;r{-;So8`p`DM(4{rwe3>ND5<|XMyyX0pr?mI(H;jM^WN@z2_+D{WklI}`im3($4ms7 zgw#ck%+z(KDm^9E;_gztwW=70q%ulKm(eebh2UVB-B13po70q|8*Qb zs6jVSG@Ur*B~9zyI=5w%Hg)%JyH9sg&WOx!pFx^L4&M~Ho44ofIN8?Si?g3DDq3rG zB%B2C2^0N*GJT65YXHyi3uzuWKVH_4l7?Q0M1!#{$x<-X9L=J;M?yaq+or;8J=XC~egg0C6O#JlGGl5JLI2f<7vFk7rx0L1&DmH{RWw{u6xf;c19cm{5#q15*k@66VkUG@tL#pKN*ELtVvx|y!Azo0uL&Wqnw z(QEf)F@ry$vil~K=UF__dp8-bCS|8}-pX3+2szn4aq0boVZfyCa*EcJMjpAJOX?O? z4Wz?`u2bu-OEUn!$DfAECdC-9qlSs&IZpb%S0|Ry4FFtsoA=LVXlyg-U6RT3_!ce> z(4AT=p@^$&iA_#DU@`sUT;X^A4~9S6L-~e}oIY9fp1WklH}LJfVEN)CHYO~6%h1jA zta0brL;%Y7HCSwWF3ZjRTB|X?G$x{mtn3t9=L$jSiq6@UP`{We$9 z#=MK7)VM*`o(;0_oP2FGA-jgljU@51EnY8dy!`}Q7ek39#}YgNJ()b_?oj&Ldk7Gb zi_SwjqM8!e(@AGJ`*}%)-W6^y!OK^WMDTEo3zQmubNO2MBTy`@pS6V|W6-z5qptUb zMy8!!c>IxhNfr6~!S5-AyKgazl9*TTO1Cs<8Jv+S%B}~`vYPx;J{9e?C;6up$^g+k zXBL%*>}B3}IJuco_ZMWp|M4x|i<#m#HD&1sqk4__Ycmaq9u+kG&WjTBhjvtYm?weh z`x3d*TTER4B6ZQxJ6FF<)4;r&&1QpV8+t4=yHJDc?2ZkEPv|sF-Y~xkz3dq^vXAG^ zX^&47?3k_J)tYMP>Y{|y6}i%{zV`C`pFcj9qWmfGNnuIYcnY) ziT%?DFQyE>&Acf;WF`S+`>Jx|hdnysHD~dwN=!}saF+U+bGJtTDYs||E~(i3_9p%U z((DnZy%^!ipJd0yDOR7RZo*~H$m}@g$TqO|dB(ZN@=?z_WcqgQV0&zi3n+8gv2E^R zNiJzsmVEx6crBUIg)Td_cV+n?&X@-FDJ!4(`Q z5zbLn#kG$C&;lx5@OS?OkrH8|9fvq{fozsb%f z+p9=yw`6P?tu)3f_AQWjVotCQaZIyNGd51DUKu8JfPwYC8fJYUXml}b3J}z5$jeV~ zML4$;9~2T_3Z|!}AE*?xq360gGrx~x=qC__?#{YJk^2hm_cZA1XC68-oD$M4>Tnmx z=;zuA=QzzzboNXt=souZ%L=a{Rj^OJmcLEt-0F3gmGAuwiAIzN#Yv;Gu+_4GB!Ms$ z$G%+b!HI!K6-dVdv@sU_ABx!1g7F9Yz!;I*wn7--lra9JOBsuB5_|OQ<4tW|a;V?x zJ3hpk_O8S+yP87&#dm7BkYZJ>i}x)0Jjx~C%+UDq#)21?r_E!`h{CX~2@DIKD+ZUl z$rZn~vRXYuAG5;?tQK$rLHD_2L$w%Gj)ING9+*pQe|(SZ{lQyNyGx-XX(Iqg)K5( z`N)-$YdFP`Z?JEEGQR}&Lp?IGqyW;8M=84e9|0Mg({t>bR3EZ~2G2x2HNbS7WT{UCt>CEdSWN zQ`3X>^@Dd$a5MZM0?FuJQ-duVHI?p6RBz=DYKk;AT1ux31vK?aI*zd&$fIiIrI)2t zIa8Gygn1;k?Db$be@>06m+fLKK90I==NXMmdatRt-luh4T7-G%+;){ZG&-+XCvqx! zJ01@eeo{5-&7~7=MN=L!PCm!u3UZ%1h}twMwt8f|0#Pohl^|`!wlp5i)|yG#VnZf@ z0RX&n;CN3jk5g%TFW%3?8r$U4e#?1Pg6HM;bh_K8Vkpz!vcMng^HCUn{9?$~bjYL# z%Dfn9yv?31T`D4?pbw$)6mI;*w0G7EG-I{nZy$!FMU0@UR>DfZ$Q-ELpfzN)iZePp zN@8SR2a=sgO(nm%Au{ENR(u|kc;FIt(yk@ign?(!z@)!BSX?PzkuBs+9isd3D}F-*SIX zlv3z4*UwwlaT%w>tj(u>R2JEof&cK(@wWqaZI)nA-^g96LY$Bn^_9QL<{LZ(5^(Bo zoefo9yh(iR$ZP02FiKv-@GURs*MdU)A+wj)BQDW%Hba3gxRYEnC4LiaiqOEtWh`dT z7=*`bB&N^oy`^3pyJj_YSx*oi@|~-YochGLDs~4x@;f}>e2|$fG%rtbc7_N4mzN2@ zM1LDy&`EdDvL&ph=aRmcctQGDcIFM;8}M}X$)mg*|6%aEGlZS(q22GadIm8VqGGIeAqphF19jWOV-Vq@}GG- zArE!-%~Rj*(29IAL5@8(YAoJ?h{1)%?o~nN%wh} zGQI2pg|pMb?BwSmzacp9apw<$U$@%wNBM*wb8W(6?YQxf56bl5ci_B&f4fg2V#W#o z=)fg%QOC5^bl%8}lWw`BD)d)9TeEkZz_t;U7b8h|NwStk$-*PofY#bID`?yWEUjEI zYp|pe)lbtnSeHiI-v)uKKv@=?4TrKu59Dt7fVSL?2L2pfg0Ps_bp%a7PN#tGD76wu zroQHL?)t;!E@R$2>X)ilWPsMBu|cPb9au8p-h2Wfm1LU%8zc)Ibiq7{>dj(U&dFo% zBr%!@TpT~bL`QTsfRH-3Rfr)m^nKJSY{y}*IQ1)pm&S$|uTvwIu8gTAPMB_6iff3EZnxtZ&OZg8-wkjK@{1u6^CvDqz%53==Qq@vW_c{+++MKX6a0 z#22G)fyYy`kF(j+SW2673vcC52&oY2^nL_x_fxPAq&wZ8^`S>oyziO0uHQszV-g-Q z+dsZx5a)g1CKUHJ;A&V&;2zf1BXLex6|bf7WIQXmaqEQB4l!I$27PeFIaShT;076W z`fqxB7!s`J&Mvf_UnmVr!e4!*^*VsQ)%*5Y0gg0{`z=!y8E4ZtwS!k1d&F7%u04cQ zsYemH=JlK{Ypt^>2CGW%PCDj>b}HZn9FgPU7i?M`7{cxqA{e~sSuQAS0kll79k(b< zsT6e;x(oM8>EP6~2YDxCnku>9FE%e6c(Zck(b8eaP;%bIE=^h}aNIYH)ZpPaRl?E;_a z)BCoMQ92hqtU~Ux43ts7^hb`Js$aF%e4b4w)|vUH1z0fL zVXO09ef%yyQrz5*2wwgHY2{tqx$Yg`{XcncH?L-gn}SYEK2eAx?`u~!k)0ZrY2 zH*oxQr`{gtqx>qN9gbMLH)LJ!lG|Jlr@;w-0Lvj@q3^pg3ef`!3yVIE-&xw&P7K^1 z&!{&bKJ+-E=}R`yBvGKT{D#y5#Lz>A1Vaf4XL)}|I54+e7LNir#$QzXX!ogZFv3|< zU%rtRflPow?w&rmfSk#m`iu_&>%mXysH|0}?n~~k3*g5~>X2E_EL_3+w9m>>Ur>#v zv~XEmHhw!1M6D&GehOkkQepI^QRVXPYGUE(9y=sz&Vv!C3Dj=)`7=)mEF{QEuo{JF=2i#%dA%T%v?o8&#N-lu?wNGyy^d|Z95JWZe;?5{l^?L?A? zv^=gu4OVWvA6#ggI|;Ac;$9h$#u+G67e(gQ2i`Ann@#s*&YYZD-{bZigbjz@9PJE$ zKG`y{nAJNP0(l}=)t|f7wc;)*_JnuN1JG?E6!k#IU37khaho&GaPM{y)bW$L8U38~ zwH4*?`=C1Q%|BmZZGKA<#K-#wxkNYTEV|?%=Y#WSZQ57s@0c#hRQ@WeW z{BI?cBA|Vb8+^03Ck}#~;T#%D(l3S$EXPR7KRH`nb3w5uVAMrG-qG4{Hho?0#kQ$g zwUu{o^<8`wsSMod<^li{JEmw@^2Gp~MadJ~&?iDOragpguE1UJD_*uvwG={39(S!> zw7;uoF<b>Or$8a$sW`N#y9tkn04+BwaT0ya0 zo?F90@BrQ)Dl?D=U1puCp1iyz#y6J;?9rlzFofLdi@-4a{Jx17-_v974IVW#*|ig5 zQ5g8IXu)c_`PFoB>zIFCEbxdp4q~0Tmz?f`Wx(j6g#Qi`sk@`XYyjNh=-N2bq+#Rf*&z}vMZiJ6jSGpqNPbQ0ns2fGnN zj>DU@0yqLe<*m1myztqh0ZR`9H&qk}n-5i=KLy`LtNFQmEZ-4=B~4^b$Xxq#uCqP1 z;0o5S>F4BHr>k~rjE3n!6n$HO^k&f-y`c<>!)LbGA zvo`sQTWp?Zd$)eI<}CJLRwDW4nOA*d%kdK8BIql$IkyNVz@N?9x@N>%y5vb_Tfb_3 z*gA$1l5cd9bxi;MRTOWGF7I%b2A97oQ`E)@`6YkZX(uGi%Cs=K{^gP(=!bEWoA7hr zGCDCcWV7-JMI$ashFv0{pjv4427?n55Sewe=>q`k09f|k84sReP9fnWb;GTrFf27! zaEAM{{6J&OpSD9J6nIjH5_hTSbL?lcLz6b}$fzAtd7{2SgFczJvT+&~5yH_oh zTche}>}BG^te5mmpCFQ-gq*_X=^m)+HG?0Vvn&cC(2(QA_nXN^c zd?EDg9zHBKw5B-N^E)S2R(3QQN{w3tzm56^4Sh98=%hQfNHmF{?mNV4*Q5H~G+5-f zF(RC^dVzBFXc&ezN)WM||j6a#W%?O+hb2UIL^g#kC>y}`xu zoo~i+cfb6t(_Y}8{1Hs1ka@?AQz{2x>;io7BL#9%zaG1hVaQ@89**#F49UA0MV+AHNTiJ?+@Zl*Cm#bFZq>7w4-*&pyD(*S6hB)T?X~`&^ zf=8thgyEio&Y{YiNcon<93ZmnRMQ{UJ9S$Al#ys~vRm~FEjkikI)4x7xr><2EP7%o z46ZaA?rihY1aa_N!35#m)C~{)67LNLrB%ozgyw2+oQ;>=R346rl0J!gNpcg@KYXTj zk(W?rZPnZ=kFjP=pR=B;EsdZLIn9w~7p{K(bNbn4n30Ag?aXDXgO5Be3%1=h@|p8g*v1-cHC1W%QTh%X|rj^UG=po&oNCrM8nQO6}B{SwRbW-H{(< zZI%k(vUw#e%Cdcv58xP^$&Vy^ZHn;}{Wv-)XH+OT{~QuiehTG=Zr;6-x`b`=XKVZW zX(-dR$wbH>xQ$t}r5n;6s+RpwMb)RW7kHmNzg~u!(b4+O5_JaQ(87lS8WKGbWS8@R zZN#_aQC+H@j6}6*T9wl3AdAd>yBEGY`fj}H9D=m(mYN4Tv2<=9$w8jlAk5I1DZ6zb zuHTZymrP`$Z24i`%JiA6={*m~+{C5> z$})szZr$7oPR2FwMjRwFOXj!9obr0C2TZ)Kr5%sKPgf}{OG`!Ea2LPgN(8&ikz39d z@={N*Y3C&e-l|#(kd}~-SkyIkmzaquiY=vf6w@c^qx3|NJ=KV`)MSg9_9Pfx1;p40kt zE_(Oap2m;8h%#2|JHwGe<8m2o0H+0PT8~0XY|Y@F{H%v*u|xyFbz=>xBOTzu#lMFN zC5Fe4&bmVFNn7^`wz>w>sNgU!na!O_veC)Lhe?-ZYZnmwNnsCjy(oc|4R@5ELQz>C z%IpsMk3M|zDAo1fUZ0NpBexmld(#OU`=2^oCZwl45PLtN9$1o>?uwsWR$`y$pPZs7KDAz+*r$?&s0KTO4!mwOW-VfqOTd zFU$1&EwHh_{Q}wPL3@2i5oVc7ZN+OR&3^y!NcrrPJ*dUabrBR^d@nl*G$ef^BNt#o z@*0v3AGnwO8W!&-6vW^CQ%mIJ zx5G;Dvhzx`4WuAzp`-4jiXEv`A;pk4U@G&c_#D9BTFA22`;L`&yN;B{kUG1JqjACW zU#eT2th_MTQRiSs-N&DC;Ho+~`A`3NlJi;KkeNFYT^U1ZUgr)Jzp*>@YyC2>0Zo1p zyfvX(M`k_uF_k5;tjJuPdyr810<5Fc``AEf1f|TJA#S3VWp>Z-6+EyWFN@9{wnzaz0&#U&zS?FmByy`A3Oy;k1dmCoO~r`Csf z7!SnoYx7n?>dwI|5bT4W>^!-n0sa(cCYU3^W~vZ{pf581@yTN*I^cyfPRPGw2VH{XhA}YiR@#GvMXc@QAXLa?-^T`vR1M$ zS&AfkLTP&_VHDYyvaiXO-S50r&tv+1zyH6-@xJ}x=x~_(p8LA5>s&tP=lq=4^p!yk zBprN@Z%fMACe~a;(+OCpekYRuhzsF|XXM6GD)ek&zh*^}n= zi_EtoC+7Fz;L0*gb0iKLKhW<>*E;2+;Zu1_h5?0VnN!FCbOjMpsfGM*%=91EKXlBu z>e$EMKR~Y{Z@vkL%$(&v1)XSpocsciPS7RdFSOhtp)IyVA}3MQ-kG3?9SvnEP&5Q~ z$21Hlxi0%V>B2J8_^v#G+@ABUhEKBikEpRxA>kq+Wu(rg!SZ95og^S~2Ad^MwwR=G*rC!e5+eDm-;J7{>fQtb5=3 zf^rI9dHn_G2*-Y>cZHit(rhO&!Gk3o8*tW$JIAlDm~`|o>)f*^WrG+?NQ#i@gJr7r zD#bv~&9T|Ov+4NoG>kK^(X&WAgh(&BBkvuyVNi@u2YL;vFXun{BCQI+w9wn;R`E}P z3*b|45s9RQ!)I?1p@%MsfezKG7z8YO#_bywKXmq6r$*U%nrC*1n2-$m&?jfW>@&tW zMeWTAtMg+m>h-7kwgH`4o#6Gfvz;jW3Pnb z4S)9dCnIyhWRrxRxxNHd1FoODyk)YRH-kiD50E2D}hBhHzY>ko1NO+#cag zbZWlgmvok4vLHe=<{S3(1(?9~iCQI& z1f=0sHYpHPVvMJvRe=&0Vv;ZHF>j^KZuuk0^;@u297Dx+!=)cg=Yz-6Xk~@~cGzvM zrF3niy*OtDAX`A( zZd1_I9w>denhQOoVzVz0LxmKni8N}bzS2C>FV5bzbqY(|Xs@4F`z3s$6Affx3OccC>VDBcHlg;tovw9>$dJW2Fy-7AR7&+AL<7IICt zskJ_(?@F4umPecAT$D&)vYCQ;G|@;1cDz3{i}Hex^z?V zzPKMV4xP~e3moq8oKdZ@kaewl2^0&yb>aEa)0$F?{Y+)>ng&3XIV4Sdext$v59;ts ztE}!bH|Y9FB(j1&&)l(yEihzHtiJ%V(=nlb58FCu6`k|&cO#ShI`j^7RPnh~F(9%_8_3a~sQ%k22=k=$|VB}42Q&=La zZ(c|x#!X;sa-F5zY8Y|E+h1nT!iSAn}gUipP8PVjKg?*0oW8U>c4(|_L z(W*AQ3m8Z(ux0p`Txn=2X&CVo$PcUp6^~lNu^hhYLlt`)L5Q| z4(&OqWI-_Dk!Vt6a=ibto=HPThug`?$taqw%uPZjethLiPj~NF=N7RuT4}r3FzMMm z-JV-CJtSm!WGiMrDc4mnn(|>NhC3;3YN5m607&!Jw3!E$7&#xJHPR;fQc%%heUKlF z+lf#$8c0iR(ofPw1`ETHR-6kA|q{J^hQai*Uv5~WBL(oWDa+zl-So2X#8rBSb~-! z=hAZ50wZPRP(#lj@6u9fH!aCNsz=;P;VmEJl`F)*Grzv>T(rN1${!^aDlSKzyko#) zuD%hisV6BTw<|GT=BDj{gBy@G2LLfu6Z`ZwZ{~BA!a0Id`md)#9*yQGp1|nIDgTHT zF7oq=pd7V$6j$TnHf^R8k^{VfsH996UC*EX_&eGnAS?Ij}NC!8yIQ2rC zbD1;VYRB#-^mpLr_rpN-0>E^wKtO0!dM37^1_A_y^`VHdG^YKdd<>u;Q8$+=%JJug z_~38htq3N5l*@-6tadJnhx6F&J+uo8S8g939*zJ6DddG>281NL})kKkt5hK48RWsVZ$1;MMQ{3Ya z<9Pz9(!*sDh}5ct$nOKy(rfvS&dwj8fp~Atqv4=92!aL=G*r=kin#ALTCsoWzD8zh zBI@$vuu%MVQOoJ9>0%EF(MngQpPZ-Gb|Vr}av05{KnQWbSP}TA{I>LxOiG!9gve?pSq6 zDv|ZtZlO=usmXN8-+QW8%ZF5TXm@-W>{GjUZ%=z~?H9u#y=aEsivwxOf~PZ978`Ed zOJ3>cDFCGA&7qqK(#&AR3R|Bx_qMeZ6WOr;|aaHLh20Z88Oc; z?Gl=CH#2)sR9sxvdB8n>^5eHi{lx{^(|yXQ5fAO|XMu zT{VzSU9-9RKRzMg>=&j?MM1iY zI&1#K)Bzk_g}CLvp3(YCq7l|L$V7=vF1&3=h{lG=h1qgZUhZpMCxdgM9F*h@~0+;hKtcSY=dH7{WU=yQ<`( zM!k&o=2m`rhyguLph_&h$aB=O-qLQo^|;XSOBJH%Z(fSBdp$+$T$DP zW*IU0$@a%+i44&@GyDu8Mbp^0=j38?q90yYj#L25UdyXjPe@lU^Bc=juU_#2`N%7D z&$lb&J$e)gPhYQ)ciJ`@YK8Yn{SY11FTP)e9wyuaaSR4y?`^d`=->AZZ+;DV>Zfz| zCr+~7tPNH5O`y}XRdH(af8lue9a!r30;Qe%VW+>}D*h7lh}dMjHqE*?njEsxHN}xz*ufMhVWJR4ZPHjzc#G z*z(vGXm{-gMjGOhh4Pc1Oi#hUpm{T;ALaxif+oYXBV=wvn2*dml5Uk%cGNRg<0ER z4i5};7NFj;{Z6Xf%{Z6Da2ex6hYlrih%?+BdBA;j0RpD}6-R^>39K4Z6Q*N>EB>O` zKL-RBjP@X6jte_FIxAjtV~a8eX${a@gVPiaTrA3l9aO4F<#lV#V3V@*6%E2(=u%&^~whE(j@ND#5ik(-83M z>FDGY`4_VpN6Xqeqpcl--+{hb!$%>RO=pyXNML{fuh3XDM}k#J1gGR;E?Xi2nfK2D z7)==gvm&KCvRgU-gi(kkm*t1R{9AphWxx@;FM1ZS@%HE)T6Yblxu;;eo>f)7{n(&X zQ2X=3zwspUp=m=O61G7+Vo>oMHj&f|{`AqlQ+8DnNfDvb!Rnr}Akl1kuXb@KuGJVKK_JFWQ7}M%g4%1yA zA{Dsy_ICLM0Hl=f@|?K2gY#a`>ZFT3fC~2q*m81mBp=0`CI39UzUk8M1UG+L z_xbU|;B?cz%A}>`a3eC3HWfeqxplDHeN3P+r8j`}Vnk*pH^P6p4Be*oEk-Vv&jZTy zpKJ5M&(g^5#3V?#X|2H-ieqDyR<^W!RQ75VXb8zSQ*CGpSnQZE=g*(70X5+hV)yE` z?WTHVVsVyH+xDFzRgA6Bk)1Sr)nP_gLJE=Cy)xcaF2W-ztK}<|zhm?!bfroUa z>%~;S(HekaE`$2?bbqwEv$ZmTv1#C+>s@empWVGAzS)RCrt>LPX8$Q!YU!hgN9Scz z5Ybxz#e)L3kS_t2mq_wQInP!heh*;^?p2t2z`3e43G%OkY(@-|P&K$)U#~V(3S5yI zh}a`YVUZ{qBb|LB2Cz}BXxqrlLIoq85t8?Mys_n!v0H=Sdi2VizlwYOUpJ*;RFcCMr4rSAT%j-4Mb)t;0cAPM7r%eg zW=1@CFA-0Wqn0cMYHkf2spco26NIhfBtT?q&_&!zx=m>PaZ>LqW?AOV8wzn?M;PNs z*ceF-*hxs^t>BLL`D9-bOi+zDv0^)VT*+5{^oPzxk~O4>lVTaK{<;z+9SsLYV!qYv z1We#eNLm6l1cewQG84QJYTz#stK_JSvTZ>}8ZzP+3A3L;zla-30nsqvcCg=d-==Wr zmjU!-hGU{}3ccOY_YE9uV|Ha_<&1)YsH!U6%Vee!{yZoI&nxTq(8QkOukS}Ee+Eq1 z*~<52n*)W7wM(T)CEmP$K49bf{r3ZZ2TWF4Q+&eK%@z^)GzT0M*Pt`n|99TPCTA3& zCy1JYUJN0cgHfPV5cJ~QU)v@PGS!H_wdNEP=xK4=yXOKQdfS!ygb_G0lDb}69$*Hi(@L?0`b<|pF&F80}xEiv8{7QX*k4~#RjwF#T4 z1m<0PXO)LG^ayanw53SM(r3JvW-UeS`-ApLx}QhRBBD1UKQVg}#BC6@dtj2Eu>tL} zyg0lh*|jOCj)Q|2eQ^-!zgs$63gZvduYA1E1)3c9GBYzBUDTgd7IxpecQ3vAzL14l z%a1$|BWaA$Rgm)otESsr2?Fn#?BKr=DnQ{3^47yhR3=09AEvnxV1aCOH1xT zofmH|j@hjPam;DeD&7fP6bQbAK4Z6xP_4{AfF3_yEYKcSP*9+83#Zg{`D(f2h}L31 zkMv<=r48ESCfHL{YMp8j?& z0cBG@4%4@fKPfIQwsA2fb5#%+-rTsw7B&n4d+hRu-EA<6*Uh-_%5BRt725O=wG}*S z*^40vuh>*dJ9t))y{nV&C%INKfQ?Tcsq zd!-;w_nWOK;I)4^`-cnA-7dN_&D$Z*0W&+=Tzl&juYkZwkF53DA6^@|bREj8m_GZwyg-u7z-W+=jX3FTK}b=iork$C*X(83Fs-&f zCx%9D;Ygp~7j?TjVD^bSf26@I;<98a1iPREaAoSIbiZc6KI8BEwr`lt-#?}e!0c78 z4sAn^KcK5uq!>rP6jtWJ@*h1alEc4G%CoguKIpk|=G;GlDE*i)eUkmk!u6Ra(c4A= z6G);vt{Ed}{K7DdLy`{>4-E52e6u-@qM%v?XfC49+aVAoDpizqv$mRqr$oq}NHkZj z^LT1^09hDFK@5V(rvI5-AsRg{u_ZWe!f>Lh;aqJC39qQ)`6JXAcR++ZfT5sz50Rg} zXTb2=n@g=SFJfGCB+MPuyw&{obUXne#<&4N5L2GCiu?G2>^$T9k&ku0V`pbCfbj#3 zKRpE?(?=T28eQ_v0{(A31I2W6@H-bzCcBdvFQO8d85&Y9W)CD4*rR^bXa;Bs`(|m9 zViYk(>V^9I-CDtN6)66*RWVm_?fegrf&_jAAheor?-0);4(yoWklm11Aa1Uv!`_$t z`(?sgj{T6IoJ9qAYY~Ly;W02nyau#VBqUk+Ep}{-F_T0d=y4B z8^9>jJ45a`vapG9N)aG2PTi}j=deK}lj<;bB&0@)44S3iHxuxLoz$VdQTuRw)@XY2^qt<`be8<%e@&~9Fi2v%4PDVOMOB`EFc z%u4bp*vf-NKfE-lO@B3=_$0}0n8XDG$n{(3Ow!t zhat7(@TlccNLVIDv;yD@BPSV(yYHcQvp{y6hqOnRv7TwZ(B?<%zYS49gHbU|BWTp+ z&H&a7fO^~7K-{s-3r2zzK40QD`X*p!K%sEoa3tz&6~UA^BeL(05~b?j`;Tg2Qa_sFJ5#f__g#H zZaMi0FVM6O!LObXeMz!KU0n0!^tn+p%2BHuC0jHbU|y!9(N?jzw{=Q>u3ndy&y4XO zIU;m3$jk8c*xCn%(8SIAA8U)$3MctIgdT~6SGv!g5D9Uo*1LGI+sQ!`I8RI{aLY~p zC^7Tyy@GF{K545q$E{iF)Sd909&NSy+`7O$A-T=s+r*2DJ^m>w`SliEbD0LV{h(!h=`}SQ zOKXpr?dZ7xRKBYENxvIuBw3c*EP(TrsD%e!~( z(CosvuDbfp-xRa-G2>J`)08(7hzeffa}K-J+Ec6wvB!`xL&xTf#fKTGVCvfiqMTpL zdEsOriUIo8^~CL_EkkWxfv9%#q0%+ym*=BL-hD~VPI7G^DNg*L$>Whde-7>ExL>)0 zGoSL-szf3Qt7kmYw?iVScShPgdlP7qf(PU8Iq7OaU@Wh=I9_L7K*Gz>iaU5$Xzxgf zX5$via7`uJj3q=$mvAh{b{md~3~3rjeuc_>6p+2#p7*DD?S8dLxQS+HGPpeVX)2!|L+k9zJ;@d}QmXA%>d%gx8%7-m|*gr^o#^+qFd=aQ=Q$pV>Y@ zHXy(M6p4)lxqvHX4}q128FOo&=*CE)1E+7*ES;q@lU{B%)-%(jB(u5!5V2i!JU7d> zcXJ(>3{!-ovha{1l~Pxpm&TV4JvusS4u`J*?77As65VDefX7nz2o7}*)PnpFb&=+9YshrJjiqD98W&kQyEoZa_u+kfT;{udMn zt}c|rnm~vE-eh@e!7<^(f`Y;`l&*UA%orqbLb~#|?BJL!SZpHCisg~ou0kom=Zdq? zzbE}?=zLsUoZ)!vDz`Vg15A;iZ#d9qF@MXE4XH}{U3*e?db0B8#YYbxPW^8eY$sK{ z%S^JBCG@h7AQ}k(Wkorm@bpC&|JA2rC6WR8npajEZcb)z1RKLl^QYUpUBzu5_i0OlcS9gnLRE_N zwMM(DMJ1og#h$08ev6$G2X+RJ5^QW(&_{6mq`vm02{V?BGGN8AjWVEm;>t1Yl)i6T zCggWZNkIx;jxJ!kY{%y^JFOep<|(OB_fzn;U&cm9<*Df`*oA$RcyJ^yt>&**Ip{rB z3p>9Hv0>hdS9{WFFVw6TgE`cZB<0Od>+3VFzTKVbpvC~hM$Efg zpw#6Y?$so-eou`M@i+`A^f`+u%CuI!Mp3_)3T4Hd*VQeLP_~V(udknVR?07~HwBRz z8@-p_m05FId&%~@1}~g5LHG8$QTqBu%15U;hD&F~&c6T@@yc5wnsdC)jr#z)vq_Wp z02;@8U&`w_Kgb-~lurn=N`B-fJ75%4Kalk>Wc?wFj6+a%b8~A^qAYd541WbMzV5BB zvl@aHzMLpc&H_S$9=o%eAC%8yBldSEpngbwE*Yf@@N|>-3iP@t(AW8Z=$a$Km)kfb zS{1$*(35>_AN+pgjJ2GG+Ok+=>JwR$P&B2Mwk4;6ozyN9KdLTWP6rZRR#)$o=fcym zU`D29Qyz+<8OGtObo%qq@e*M>0)TL^a8FB^HUD1@C;` zR9`a)H=cWbYV}$C!cGj-)`DNap)|XfA@K_rX?iU91F5aKVP~D*ABw4g-oTGhcY*Cy zH@mxa2ibAMW5GZZLzU%`SgGjnIx{cmU4RKx7v)S~FBXZM&$G$+WcjQ53rP0-E*D{KWo8NtD>@jb8sClKH_HR>(lxz&= zZNWj|eiy_Lqz7SJz&Y5>M>%wRvp1sKeFvP0jFSjYDA}*EK0Y4sGJon=1^@MXybL6x zyU)8g6#Vrn=$;{ESlf5^{{H>{0k`dAt@NuC;~IRbAI+Ho@L|GY^k!v6avIfbq6yTLfV zmcl-*oqrt>`wdT~Bq!$wt!7;VUmCluKrtMdS>W!B%omJ?>Fz-&p{4%`k~0TaH~2Rw z*U*kv_-n;Ekr&~n4f3CgvjY}TA`XLD=X&67RwPt(yO6mAFuLKsSxHfmINKBK#jGoG$dL3()_j6d`gisB>8{?lg_~RdKc!c za|=wp<3_s2ptQuM1@JhW52v;VR)OSuDB>)@D|bojQb3P%r_PldnA__i0Iu^6n4b5b z8e8{$DOT!%ZK#cHsKY_xYG%)u)aY$u(k_#wrf*P|Ha2SQ?Fez#%gal*qewDGC-=le zk#%8pJK%T+q4fXQS|$vqM3b^wxEZ^PeHyumtblx>h(vhL$}d|!BWPcwG`P(JHuhdVxn=p{Gc z?L-v|+)5>$Yy(Iy_TlyJ3OD;|c9&=4M#AKv1Y;0{f4$SsgV5+piZrb~6C$&iZLRV4 zL%fvYm|idmgMnR(v6W>ltGFP$K$?0@n&7y{M5tvW&|gPOb8~DNL&AaG--?eqt5J); zl&L5gG214LdkR*xe8$4sSnS4U=DnTumc0e#&~C?Zd?@e8Me?E#CCv#Y*d8PI&L9KI zlf%1K#@>1@@%la4#&~oOiOmRHp|HSw{>|2glZ?=X7Gl+2^u8ibukar$U}d!`9v+vf z*Ek@IQ`)Uh=)mDfmw8x$%q2!lW$|)ZOPK6&bo6gYC6<^1+q=Mf)qN)IugXC>a7ueW z@kf*JIbrOoz0T>cK&|_^&61IDqt%rmgW=|!7DbNarw>pS`z#~W%A>5~>qqHFIL_(n zJp*P&KS-CJanUW=l(qoU!*CG-tbs!jK=cTwO^^GGS7bjmBx_f1X~J`|XomZlUYMrh ziCF{`lvOCt^J;qKon)0x4C5v_^ISE!KZFZvNi27sh~iwEx+#&}JFRaaMCL21AlH0W z!EyqPE?Sb$;W;HO4kRecV6}dW7-`4JtY|iX{T_ut@*~RWi)Mf@DyyQR^KpU6kaiG| z)aDoRt!qn3_y!LsJ`YAlfav!rX0GJTpapEk6?NnhGJ3b64JUWC)lDDAk?QY5>D2RQ zdC!Et-u?pix54Bf;s#s8f14b^WaxlWlljoqErRMt9@I^r#F2I~8Lxa}t)tue*Ma>V zZeIxv3`DiTY`)su2bFC|0S_iM&y62kO`tno61kOC5Lc_!4JFg0;^dIc*$)NrA+i$V zZBQ~0icAs|xlog_$td39ib*93yCWqk7?;-2u1;>2@aMrXeHv~H3)^mKWp$^dqy#q~ zQlAM`UVAVy%9@&ySv+^ik;1VNHx2U0i18#O3=iiB97*a=pdcoimYsbTs*^D{^>KU2 zd~gk%ZJEi(qFPi)X3>5Nso(>Z*c_U{Xa6cq;nS9j>(_NjGFT-dR@U!bD62B?mo7J^ zDaa&=Ri7rq6lDdfl>9VY)J<`Me#}~1RgkL2&PGK+o*yWvL%wxDHvswk|*JUR?oix!iAv^fynfv0p7i82v}ik=Z|ekyVP_I&Zl z(wEiq+7dU|DBdl93`E7w-Y8ge4n)mkZdYbjOwK&6Y9l+h_JADp9w@GiZHlixZuZZ8 zO)AW;VUdQVy2|d95p*xGozsD-&W(F2ayxhs7xeR??-x@=%7bF;<;l6ydXnx!?BXz9 zQjWct)C&ZvQWzv!8+Axo>UAbpU}5@_5f7aw6zuB+^TT(Zy5SObqS4yvV6elTpowaj zMR{Xf$RK=@wL@fN_N9>FS;vaj#$)a(vecaGax%@Ewj^}wlB%lfgI7`dwv1VS1CMoT z#aeQ|fk(LtHWkS*L4k)%bnWq$Lmu2WK1Z?uN+C5bzmk0tH{4b@R4wb*T9Urxh17FF zg|kSOnr)Zp?YZMD=sUX>5qEFaTCJU6F;KGJH}^9K1e7Bqd`Z}$nEjjSg4iMm#H5^W zLlN-6HpexpMAwFT2NT78=}eeb&8;Ii$%}-Dhe@sv7{A?ymD>P7b+oj0DG_>G`sni= zcC_|X0(H`Iou~b%@IfpBJ+f?u9vQ9SfN(BD6|j|l$6UNQ`(R$ft+8~6rHOpO2SdU* z(xBMQ2OXV!6D}{5ACC_7e$Zv@!Bj)>)j|V zDmwP5s(O99#>q|AZ4m(l&AyAuQ4jT@>LaSQtE;nMhUcBXEW74#oZ=BtaTNO@OWpNF zG~U}va$cyi>`U;z2PN*W4Qwk9!4QcV0FEHFB@h_LIN4TCtvVSPnabq_oL{{+9u?No za|<{1Lz?RRrt}Ao5Y-o2iS_V4-}I+n9U4aE@x0p#C?xufqfH=4JegnKli{sK^VKQY zg0{YX(@}+>9qgcJ(l91@{rWmMyTF8DXRu^0r)s$PEydmrNSa7HF zCQpL31z+en{`|a9`?4#+IOoga9>*{gR7eh>p%tDBL^`6N8Sk@i+58tn8l5@q{N0r3wt%_(a|z6xOOk?)}_W~ zf#0c2(6^E6YGls^o~UZ5R*u^5H$0hQ0$MpqDAWf|a?doDgI_Mo&({QMUcr+iD$K}P zgYoL)Zpk-C_F0no*n=!sT<<8IA@(6D1%!DKaoRYNFWH08VW+Ocf<6hUq9unbs~VC~ zsg zT7?+Hzxg@`S$Km;*zDS`1Jkd+uq|&De1?Zg)AvPFz!;G(9cU^)f^-NE4ao#-UV0yV z6U}~3Oi^j6vbA+?QAx?^-0xJP$Xy7O#Z4R2_<@owX&z`k@+|qmbJv1JGHYQ|!xAsZ6l4=Lfv2HqcK%&(n*4#t^ z2Wh7l?A^^24wBPwf~bRidx?3qax=?nXKhptsWG(L9vDBjJ(Ww_${%9VK?-!i2sLD zhIPTnLJ$p>zN|P_S7KxFvpIDV%Ck(l>Y?75PHo>-bh<@9yed&F{Vew3!w0DopQ_4w zpj??=RwjD6qe!)cy`&o|aralF*pD_^Jnw-Cw*w15`mRvD1@=em^J(jJqEyoK@PqIV zKq^0nDIi1Hu|8Gnth_a(l#Gmb64@rUz7wj2tCDTBX(Aupqw_@iGBPp-Guzi}Y}>6R zfm?DS*)qsPx{wkNeFF~}zYCRG7dn}HNaR)rqVkDnr24l5w!J7j)EWk&~e;01U&!ankqG20%@FInv1+}w;Ce&pSRAhE~E@8 zOw6|qcKQ%QG6C>l8m7tq|5-(!kjKFD$QC`I;nx!j=Q+hGq_i6Y&{Op-S-b8PT^*e` z#l4O!>#YsAJr8qogz6d_E-8p*J;~@zT!jkuK8R6g#!7hGC)6B($x=< zgaVpm?zy33t(6|7pt9Hf+5IoviuWMV7mZFx9AD9qYVM>g+hdthOZ5cCrsa;2094DZ~`~nRbGm;jdk7663-&WN1`xNztX(n zPCDl`%Ao|*(jLDs!KbG9?2P>r&dzUr!mg6uZ%uUIJt%&$qG496QFF)Q)c@FCaBTex zS&f5|reHU+T%77KNj6O{zv|w3kpXA%QYhX91wi&k@R}DgO2pR!nySz-xT12yd3o3$ z%`h&7xz#%LYT`$NHND6GhF|ippLt3i&HMNur^QF`ry8~jp4Qe=@nz4WM}PKg3cA zp-H*FQ~sOAbsaH0!y=!TZsXpu5kaT~EZ}rz!Fsb6@XjDN-j!Pp3d$#dp)2aOR0Mop zjlk{fSG%3Mw|GG@)79PTFYX1S5X7# zzXu%d>((PhOm+hW~#L^8en*<_+nu*}G05 Xu-mvhYYaz40sqb@sL4Zt#rOXMf1^ui diff --git a/Basic Verilog structures/Controllers.md b/Basic Verilog structures/Controllers.md index a5666c7..9880f6b 100644 --- a/Basic Verilog structures/Controllers.md +++ b/Basic Verilog structures/Controllers.md @@ -26,9 +26,9 @@ * W — доступ **только на запись**; * RW — доступ на **чтение и запись**. -В случае отсутствия **запроса на чтения**, на выход `read_data_o` должно подаваться значение `32'hfa11_1eaf`. Это никак не повлияет на работу процессора, но будет удобно в процессе отладки на временной диаграмме (тоже самое было сделано в процессе разработки памяти данных). +В случае отсутствия **запроса на чтение**, на выходе `read_data_o` не должно меняться значение (тоже самое было сделано в процессе разработки памяти данных). -Если пришел **запрос на запись** или **чтение**, это еще не значит, что контроллер должен его выполнить. В случае, если запрос происходит по адресу, не поддерживающему этот запрос (например **запрос на запись** по адресу поддерживающему только чтение), данный запрос должен игнорироваться. В случае **запроса на чтение** по недоступному адресу, на выходе `read_data_o` должно появиться значение `32'hdead_beef`. +Если пришел **запрос на запись** или **чтение**, это еще не значит, что контроллер должен его выполнить. В случае, если запрос происходит по адресу, не поддерживающему этот запрос (например **запрос на запись** по адресу поддерживающему только чтение), данный запрос должен игнорироваться. В случае **запроса на чтение** по недоступному адресу, на выходе `read_data_o` должно остаться прежнее значение. К примеру, в случае запроса на чтение по адресу `0x0100004` (четвертый байт в адресном пространстве периферийного устройства "переключатели"), на выходе `read_data_o` должно оказаться значение `32'hdead_beef`. В случае отсутствия запроса на чтение (`req_i == 0` или `write_enable_i == 1`), на выходе `read_data_o` контроллера переключателей должно оказаться значение `32'hfa11_1eaf`. @@ -147,9 +147,10 @@ endmodule На управление этим сигналом наложены следующие требования: * изменения этого сигнала должны быть **синхронными** (значит перед выходным сигналом должен стоять регистр); -* в случае отсутствия **запроса на чтение**, данный сигнал должен принять значение `32'hfa11_1eaf`; -* в случае **запроса на чтение** по неподдерживаемому адресу, данный сигнал должен принять значение `32'hdead_beef`; * в случае **запроса на чтение** по поддерживаемому адресу, данный сигнал должен принять значение ассоциированного с этим адресом регистра (дополнив это значение нулями в старших разрядах). +* в случае отсутствия **запроса на чтение**, или запроса на чтение по неподдерживаемому адресу, регистр должен сохранить значение + +Чтобы регистр сохранял значение между **запросами на чтение** по поддерживаемому адресу, добавим ему сигнал enable, а на вход данных подадим выход с мультиплексора, выбирающего между доступными источниками данных для чтения. Таким образом, итоговая схема примет вид: diff --git a/Labs/03. Register file and memory/README.md b/Labs/03. Register file and memory/README.md index 8eff492..8e2862a 100644 --- a/Labs/03. Register file and memory/README.md +++ b/Labs/03. Register file and memory/README.md @@ -226,19 +226,13 @@ mоdulе instr_mеm( ); ``` -Не смотря на разрядность адреса, на практике, внутри данного модуля вы должны будете реализовать память с 1024-мя 32-битными ячейками (в ПЛИС попросту не хватит ресурсов на реализации памяти с 232 ячеек). +Не смотря на разрядность адреса, на практике, внутри данного модуля вы должны будете реализовать память с 1024-мя 32-битными ячейками (в ПЛИС попросту не хватит ресурсов на реализации памяти с 232 ячеек). Таким образом, реально будет использоваться только 10 бит адреса. При этом по спецификации процессор RISC-V использует память с побайтовой адресацией. Байтовая адресация означает, что процессор способен обращаться к отдельным байтам в памяти (за каждым байтом памяти закреплен свой индивидуальный адрес). Однако, если у памяти будут 32-рязрядные ячейки, доступ к конкретному байту будет осложнен, ведь каждая ячейка — это 4 байта. Как получить данные третьего байта памяти? Если обратиться к третьей ячейке в массиве — придут данные 12-15-ых байт (поскольку каждая ячейка содержит по 4 байта). Чтобы получить данные третьего байта, необходимо **разделить значение пришедшего адреса на 4** (отбросив остаток от деления). `3 / 4 = 0` — и действительно, если обратиться к нулевой ячейке памяти — будут получены данные 3-го, 2-го, 1-го и 0-го байт. То что помимо значения третьего байта есть еще данные других байт нас в данный момент не интересует, важна только сама возможность указать адрес конкретного байта. -Деление на 2n можно осуществить отбросив `n` младших бит числа. Таким образом на выход память инструкций должна выдавать данные, расположенные по адресу addr_i[31:2]; - -Обращение в память по адресам, превышающим `4095` должно выдавать значение `32'd0`. Почему именно `4095`? `4095 / 4 = 1023` — индекс последней ячейки памяти. - -Как реализовать подобный функционал? Разумеется, с помощью [мультиплексора](../../Basic%20Verilog%20structures/Multiplexors.md). - -В данном случае, вы можете либо воспользоваться конструкцией `if-else` в блоке `always_comb`, либо **тернарным условным оператором** во время реализации **непрерывного присваивания**. В любом из случаев, вам нужно будет реализовать условие того, что пришедшее значение на шине адреса попадает в диапазон допустимых адресов. Если это так, вернуть склейку данных из памяти, начинающихся с этого адреса, в противном случае — вернуть 0. +Деление на 2n можно осуществить отбросив `n` младших бит числа. Учитывая то, что для адресации 1024 ячеек памяти мы будем использовать 10 бит адреса, память инструкций должна выдавать на выход данные, расположенные по адресу `addr_i[11:2]`. ### 2. Память данных @@ -263,23 +257,11 @@ mоdulе data_mеm( ``` -Как и память инструкций, память данных будет состоять из 32-разрядных ячеек (только теперь их будет 4096), и при обращении к этим ячейкам будет необходимо делить адрес на 4. +Как и память инструкций, память данных будет состоять из 32-разрядных ячеек. Только теперь их будет 4096, а значит при обращении к ячейкам памяти нужно использовать не 10 бит адреса, а 12. При этом по-прежнему необходимо разделить пришедший адрес на 4, т.е. нужно отбросить два младших бита. Таким образом, обращение к ячейкам памяти (для записи и чтения) должно осуществляться по адресу `addr_i[13:2]`. -Однако в отличие от памяти инструкций, в память данных добавлено два управляющих сигнала (`mem_req_i`и `write_enable_i`). Сигнал `mem_req_i` является сигналом запроса на работу с памятью. Без этого сигнала память не должна выполнять операции чтения/записи (вне зависимости от сигнала `write_enable`, определяющего происходит сейчас запись или чтение). Как сделать так, чтобы не происходило чтение без запроса? Например возвращать на шину чтения специальное "магическое число". +Однако в отличие от памяти инструкций, в память данных добавлено два управляющих сигнала (`mem_req_i`и `write_enable_i`). Сигнал `mem_req_i` является сигналом запроса на работу с памятью. Без этого сигнала память не должна выполнять операции чтения/записи (вне зависимости от сигнала `write_enable`, определяющего происходит сейчас запись или чтение). Как сделать так, чтобы не происходило чтение без запроса? Например не обновлять значение, считанное во время предыдущей операции чтения. -- В случае `mem_req_i == 0` или `write_enable_i == 1` (т.е. когда не выполняется операция чтения), на выходе `read_data_o` должно оказаться значение `32'hfa11_1eaf` (поскольку `1` схожа с латинским символом `l`, это выражение можно прочесть как `fall_leaf`). -- В случае, если `mem_req_i == 1` и значение `addr_i` **попадает** в диапазон `[0:16383]` (4096*4-1), на выходе `read_data_o` должно оказаться значение ячейки по адресу в 4 раза меньше пришедшего. -- В случае, если `mem_req_i == 1` и значение `addr_i` **не попадает** в диапазон `[0:16383]`, на выходе `read_data_o` должно оказаться магическое число `32'hdead_beef`. - -Данные условия должны проверяться **строго** в указанном порядке (с указанным **приоритетом**) с помощью цепочки `if-else-if-else`, причем обязательно с блоками `else`. - -Зачем нужны эти магические числа `32'hfa11_1eaf` и `32'hdead_beef`? У этих чисел легко узнаваемая сигнатура, позволяющая обратить на них внимание. В случае, если при чтении из памяти в регистровый файл попадут эти значения, увидев их вы сможете почувствовать что "что-то не то", и проверить: а было ли в памяти по указанному адресу действительно такое значение (в отличие от значения `32'h0000_0000`, которое не вызовет у вас никаких вопросов). Вероятность того, что такие числа возникнут в естественном ходе работы программы достаточно мала, а значит скорее всего если вы встретите эти числа — это сигнал того, что что-то в вашем процессоре работает неправильно (например, произошло обращение за пределы памяти, или неправильно формируется сигнал `mem_req_i`). - -Если `mem_req_i == 1` и `write_enable_i == 1` происходит запрос на запись в память. В этом случае, необходимо по положительному фронту `clk_i` записать в значение `write_data_i` в ячейку по адресу в 4 раза меньшему `addr_i`. Во всех других случаях (любой из сигналов `mem_req_i`, `write_enable_i` равен нулю), запись в память не производится. - -Поскольку мы описываем память с синхронным чтением, было бы неплохо, чтобы в результате мы получили блочную память (см. [теорию про память](#теория-про-память)). Однако блочная память — это заранее созданный аппаратный блок памяти, в котором нет места придуманным нами магическим числам, поэтому описывая порт на чтение, сперва лучше описать регистр, в который по запросу на работу с памятью всегда будет записываться значение из соответствующей ячейки. А уже после можно описать выход `rеаd_dаtа_o` перед которым будет стоять мультиплексор с тремя входами: константами `32'hfa11_1eaf`, `32'hdead_beaf` и значением с выхода описанного вами регистра: - -![../../.pic/Labs/lab_03_memory/fig_03.drawio.png](../../.pic/Labs/lab_03_memory/fig_03.drawio.png) +Если `mem_req_i == 1` и `write_enable_i == 1`, то происходит запрос на запись в память. В этом случае, необходимо записать значение `write_data_i` в ячейку по адресу `addr_i[13:2]`. Во всех других случаях (любой из сигналов `mem_req_i`, `write_enable_i` равен нулю), запись в память не производится. ### 3. Регистровый файл @@ -327,7 +309,7 @@ mоdulе rf_r𝚒sсv( 1. Сперва необходимо создать память (массив регистров). Как это сделать, сказано в разделе [описание памяти на языке SystemVerilog](#описание-памяти-на-языке-systemverilog). Разрядность ячеек памяти должна быть 32 бита, количество ячеек — 1024. 2. Добавить в проект [`файл с содержимым памяти инструкций`](program.txt)([`как добавить файл, инициализирующий память`](../../Vivado%20Basics/How%20to%20add%20a%20mem-file.md)). Данный файл будет использоваться при вызове системной функции `$readmemh` в описании памяти инструкций. 3. К созданной памяти необходимо подключить выход модуля `read_data_o`. При подключении должен быть использован вход модуля `addr_i`, значение которого должно быть уменьшено в 4 раза (побайтовая адресация). - 4. При подключении выхода `read_data_o` помните, что чтение по адресам, превышающим `4095` должно возвращать `0`. + 4. При реализации выхода `read_data_o` помните, что обращаясь к ячейке памяти, вам необходимо использовать `[11:2]` биты адреса. 3. После описания памяти инструкций, её необходимо проверить с помощью тестового окружения. 1. Тестовое окружение находится [`здесь`](tb_instr_mem.sv). 2. Для запуска симуляции воспользуйтесь [`этой инструкцией`](../../Vivado%20Basics/Run%20Simulation.md). @@ -338,10 +320,8 @@ mоdulе rf_r𝚒sсv( 2. Опишите в нем модуль памяти данных с таким же именем и портами, как указано в задании. 1. Описание модуля будет схожим с описанием модуля памяти инструкций, однако порт чтения в этот раз будет **синхронным** (запись в него будет происходить в блоке `always_ff`). Кроме того необходимо будет описать логику записи данных в память. 2. Запись в ячейки памяти описывается подобно записи данных в [регистры](../../Basic%20Verilog%20structures/Registers.md), только при этом, происходит доступ к конкретной ячейке памяти с помощью входа `addr_i` (как осуществляется доступ к ячейкам памяти сказано в разделе [описание памяти на языке SystemVerilog](#описание-памяти-на-языке-systemverilog)). - 3. Необходимо помнить, что запись будет вестись в ячейку с индексом в 4 раза меньшим пришедшего адреса. - 4. Обратите внимание что работа с памятью должна осуществляться только когда сигнал `mem_req_i == 1`, в противном случае запись не должна производиться, а на шину `read_data_o` должно возвращаться магическое число `32'hfall_leaf`. - 5. При чтении по адресам вне допустимого диапазона (старше адреса `16383`), на шине `read_data_o` должно выставляться значение `32'hdead_beaf`. - 6. Проверка условий перед записью значения в `read_data_o` должно идти в указанном в задании порядке: сперва проверяются значения сигналов `mem_req_i` и `write_enable_i`, и только после этого значение адреса. Реализация логики приоритетов осуществляется в цепочке блоков `if-else-if-else`. + 3. Доступ к ячейкам (на запись и чтение) осуществляется по адресу `addr_i[13:2]`. + 4. Обратите внимание что работа с памятью должна осуществляться только когда сигнал `mem_req_i == 1`, в противном случае запись не должна производиться, а на шине `read_data_o` должен оставаться результат предыдущего чтения. 3. После описания памяти данных, её необходимо проверить с помощью тестового окружения. 1. Тестовое окружение находится [`здесь`](tb_data_mem.sv). 2. Для запуска симуляции воспользуйтесь [`этой инструкцией`](../../Vivado%20Basics/Run%20Simulation.md). diff --git a/Labs/03. Register file and memory/tb_data_mem.sv b/Labs/03. Register file and memory/tb_data_mem.sv index 6430370..b679bfd 100644 --- a/Labs/03. Register file and memory/tb_data_mem.sv +++ b/Labs/03. Register file and memory/tb_data_mem.sv @@ -63,32 +63,17 @@ parameter STEP = 8; end end for (i = 0; i < (ADDR_SIZE+STEP); i = i + 1 + $urandom() % STEP) begin - if (i < (ADDR_SIZE)) begin - REQ = |($urandom %10); - WE = 0; - #TIME_OPERATION; - RDa = RD; - WD = $urandom; - #TIME_OPERATION; - WE = $urandom % 2; - #TIME_OPERATION; - if ((WE && REQ || !REQ) && RD !== 32'd4195425967) begin - $error("When writing (write_enable_i = %h) read_data_o should be equal to fa11_1eaf, your data: %h_%h, time: %t", WE, RD[31:16],RD[15:0], $time); - err_count = err_count + 1; - end - if ((!WE && REQ) && RD !== RDa) begin - $error("When reading (write_enable_i = %h), the data %h is overwritten with data %h at address %h, time: %t", WE, RDa, RD, A, $time); - err_count = err_count + 1; - end - end - else begin - WE = 0; - REQ = 1; - #TIME_OPERATION; - if (RD !== 32'd3735928559) begin - $error("When reading (write_enable_i = %h) at address greater than 16383 (current addr = %d), it should return dead_beef, but your data: %h_%h, time: %t", WE, A, RD[31:16],RD[15:0], $time); - err_count = err_count + 1; - end + REQ = |($urandom %10); + WE = 0; + #TIME_OPERATION; + RDa = RD; + WD = $urandom; + #TIME_OPERATION; + WE = $urandom % 2; + #TIME_OPERATION; + if ((!WE && REQ) && RD !== RDa) begin + $error("When reading (write_enable_i = %h), the data %h is overwritten with data %h at address %h, time: %t", WE, RDa, RD, A, $time); + err_count = err_count + 1; end #TIME_OPERATION; end @@ -96,9 +81,9 @@ parameter STEP = 8; REQ = 1; WE = 0; #TIME_OPERATION; - for (i = 0; i < 4; i = i + 1) begin - if(i==0) begin - repeat(2)@(posedge CLK); + for (i = 0; i < 4; i = i + 1) begin + if(i==0) begin + repeat(2)@(posedge CLK); #1; RDa = RD; end else if(RD !== RDa) begin @@ -118,12 +103,6 @@ parameter STEP = 8; err_count = err_count + 1; end @(posedge CLK); - i = {14{1'b1}}; - repeat(2) @(posedge CLK); - if (RD === 'd3735928559) begin - $error("incorrect reading from address = %d, data = %h", A, RD); - err_count = err_count + 1; - end $display("Number of errors: %d", err_count); if( !err_count ) $display("\ndata_mem SUCCESS!!!\n"); $finish(); diff --git a/Labs/07. External memory/README.md b/Labs/07. External memory/README.md index eac3798..fb75d68 100644 --- a/Labs/07. External memory/README.md +++ b/Labs/07. External memory/README.md @@ -55,15 +55,9 @@ module ext_mem( ); ``` -Как и память данных из лабораторной работы №3, память данных в данной лабораторной состоит из 4096-и 32-разрядных ячеек и обладает портом синхронного чтения, выдающим данные по следующим правилам: +Как и память данных из лабораторной работы №3, память данных в данной лабораторной состоит из 4096-и 32-разрядных ячеек и обладает портом синхронного чтения, обновляющим данные только по запросу на чтение (`mem_req_i & !write_enable_i`). -- В случае `mem_req_i == 0` или `write_enable_i == 1` (т.е. когда не выполняется операция чтения), на выходе `read_data_o` должно оказаться значение `32'hfa11_1eaf`. -- В случае, если `mem_req_i == 1` и значение `addr_i` **попадает** в диапазон `[0:16383]` (4096*4-1), на выходе `read_data_o` должно оказаться значение ячейки по адресу в 4 раза меньше пришедшего. -- В случае, если `mem_req_i == 1` и значение `addr_i` **не попадает** в диапазон `[0:16383]`, на выходе `read_data_o` должно оказаться магическое число `32'hdead_beef`. - -Данные условия должны проверяться **строго** в указанном порядке (с указанным **приоритетом**) с помощью цепочки `if-else-if-else`, причем обязательно с блоками `else`. - -Иными словами, логика реализации порта на чтение повторяет эту логику из памяти данных лабораторной работы №3 (можно скопировать эту логику). +Иными словами, логика реализации порта на чтение повторяет логику памяти данных лабораторной работы №3 (можно скопировать эту логику). Если `mem_req_i == 1` и `write_enable_i == 1` (т.е. если происходит запрос на запись в память), то необходимо обновить данные в тех байтах `addr_i / 4`-ой ячейки памяти, которые соответствуют единичным битам сигнала `byte_enable_i`. diff --git a/Labs/12. Peripheral units/README.md b/Labs/12. Peripheral units/README.md index 68b40fd..2161aeb 100644 --- a/Labs/12. Peripheral units/README.md +++ b/Labs/12. Peripheral units/README.md @@ -184,11 +184,9 @@ sys_clk_rst_gen divider(.ex_clk_i(clk_i),.ex_areset_n_i(resetn_i),.div_i(10),.sy * W — доступ **только на запись**; * RW — доступ на **чтение и запись**. -В случае отсутствия **запроса на чтения**, на выход `read_data_o` должно подаваться значение `32'hfa11_1eaf`. Это никак не повлияет на работу процессора, но будет удобно в процессе отладки на временной диаграмме (тоже самое было сделано в процессе разработки памяти данных). +В случае отсутствия **запроса на чтение**, на выходе `read_data_o` не должно меняться значение (тоже самое было сделано в процессе разработки памяти данных). -Если пришел **запрос на запись** или **чтение**, это еще не значит, что контроллер должен его выполнить. В случае, если запрос происходит по адресу, не поддерживающему этот запрос (например **запрос на запись** по адресу поддерживающему только чтение), данный запрос должен игнорироваться. В случае **запроса на чтение** по недоступному адресу, на выходе `read_data_o` должно появиться значение `32'hdead_beef`. - -К примеру, в случае запроса на чтение по адресу `0x0100004` (четвертый байт в адресном пространстве периферийного устройства "переключатели"), на выходе `read_data_o` должно оказаться значение `32'hdead_beef`. В случае отсутствия запроса на чтение (`req_i == 0` или `write_enable_i == 1`), на выходе `read_data_o` контроллера переключателей должно оказаться значение `32'hfa11_1eaf`. +Если пришел **запрос на запись** или **чтение**, это еще не значит, что контроллер должен его выполнить. В случае, если запрос происходит по адресу, не поддерживающему этот запрос (например **запрос на запись** по адресу поддерживающему только чтение), данный запрос должен игнорироваться. В случае **запроса на чтение** по недоступному адресу, на выходе `read_data_o` должно остаться прежнее значение. В случае осуществления записи по принятому запросу, необходимо записать данные с сигнала `write_data_i` в регистр, ассоциированный с адресом `addr_i` (если разрядность регистра меньше разрядности сигнала `write_data_i`, старшие биты записываемых данных отбрасываются). diff --git a/Labs/Made-up modules/lab_03.data_mem.sv b/Labs/Made-up modules/lab_03.data_mem.sv index 31ac535..732f06a 100644 --- a/Labs/Made-up modules/lab_03.data_mem.sv +++ b/Labs/Made-up modules/lab_03.data_mem.sv @@ -17,29 +17,28 @@ logic [31:0] addr; assign addr = {2'b0, addr_i[31:2]}; always_ff @(posedge clk_i) begin - if(write_enable_i&mem_req_i) RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][{5{1'b1}}:{3'd7,2'b00}] <= write_data_i['h1f:'h1c]; - if(write_enable_i&mem_req_i) RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][19:{1'b1,4'h0}] <= write_data_i[42-23-:`asdasdhkjasdsadat]; - if(write_enable_i&mem_req_i) RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][{3{1'b1}}:{1'b1,2'h0}] <= write_data_i[`akjsdnnaskjdndat-:`asdasdhkjasdsadat]; - if(write_enable_i&mem_req_i) RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][23:{{2{2'b10}},1'b0}] <= write_data_i[42-19-:`asdasdhkjasdsadat]; - if(write_enable_i&mem_req_i) RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][27:{2'b11,3'b000}] <= write_data_i['h1b:'h18]; - if(write_enable_i&mem_req_i) RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][11:{1'b1,{3{1'b0}}}] <= write_data_i[`akjsdnnaskjdndat+`asdasdhkjasdsadat:(`akjsdnnaskjdndat+`asdasdhkjasdsadat)-`cdyfguvhbjnmkdat]; - if(write_enable_i&mem_req_i) RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][{2{1'b1}}:{3{1'b0}}] <= write_data_i[`akjsdnnaskjdndat-`asdasdhkjasdsadat-:`asdasdhkjasdsadat]; - if(write_enable_i&mem_req_i) RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][{4{1'b1}}:4'b1100] <= write_data_i[(`akjsdnnaskjdndat<<(`asdasdhkjasdsadat-`cdyfguvhbjnmkdat)) + (`asdasdhkjasdsadat-`cdyfguvhbjnmkdat):12]; + if(write_enable_i&mem_req_i) RAM[addr[13'o10+13'b101:'hBA & 'h45]][{5{1'b1}}:{3'd7,2'b00}] <= write_data_i['h1f:'h1c]; + if(write_enable_i&mem_req_i) RAM[addr[13'o10+13'b101:'hBA & 'h45]][19:{1'b1,4'h0}] <= write_data_i[42-23-:`asdasdhkjasdsadat]; + if(write_enable_i&mem_req_i) RAM[addr[13'o10+13'b101:'hBA & 'h45]][{3{1'b1}}:{1'b1,2'h0}] <= write_data_i[`akjsdnnaskjdndat-:`asdasdhkjasdsadat]; + if(write_enable_i&mem_req_i) RAM[addr[13'o10+13'b101:'hBA & 'h45]][23:{{2{2'b10}},1'b0}] <= write_data_i[42-19-:`asdasdhkjasdsadat]; + if(write_enable_i&mem_req_i) RAM[addr[13'o10+13'b101:'hBA & 'h45]][27:{2'b11,3'b000}] <= write_data_i['h1b:'h18]; + if(write_enable_i&mem_req_i) RAM[addr[13'o10+13'b101:'hBA & 'h45]][11:{1'b1,{3{1'b0}}}] <= write_data_i[`akjsdnnaskjdndat+`asdasdhkjasdsadat:(`akjsdnnaskjdndat+`asdasdhkjasdsadat)-`cdyfguvhbjnmkdat]; + if(write_enable_i&mem_req_i) RAM[addr[13'o10+13'b101:'hBA & 'h45]][{2{1'b1}}:{3{1'b0}}] <= write_data_i[`akjsdnnaskjdndat-`asdasdhkjasdsadat-:`asdasdhkjasdsadat]; + if(write_enable_i&mem_req_i) RAM[addr[13'o10+13'b101:'hBA & 'h45]][{4{1'b1}}:4'b1100] <= write_data_i[(`akjsdnnaskjdndat<<(`asdasdhkjasdsadat-`cdyfguvhbjnmkdat)) + (`asdasdhkjasdsadat-`cdyfguvhbjnmkdat):12]; end always_ff@(posedge clk_i) begin case(1) - !mem_req_i||write_enable_i: read_data_o <= 'd4195425967; - mem_req_i&&(addr_i<={14{1'b1}}): begin - read_data_o['h1f:'h1c]<=RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][{5{1'b1}}:{3'd7,2'b00}]; - read_data_o[42-23-:`asdasdhkjasdsadat]<=RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][19:{1'b1,4'h0}]; - read_data_o[`akjsdnnaskjdndat-:`asdasdhkjasdsadat]<=RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][{3{1'b1}}:{1'b1,2'h0}]; - read_data_o[42-19-:`asdasdhkjasdsadat]<=RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][23:{{2{2'b10}},1'b0}]; - read_data_o['h1b:'h18]<=RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][27:{2'b11,3'b000}]; - read_data_o[`akjsdnnaskjdndat+`asdasdhkjasdsadat:(`akjsdnnaskjdndat+`asdasdhkjasdsadat)-`cdyfguvhbjnmkdat]<=RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][11:8]; - read_data_o[`akjsdnnaskjdndat-`asdasdhkjasdsadat-:`asdasdhkjasdsadat]<=RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][3:0]; - read_data_o[(`akjsdnnaskjdndat<<(`asdasdhkjasdsadat-`cdyfguvhbjnmkdat))+(`asdasdhkjasdsadat-`cdyfguvhbjnmkdat):12]<=RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][{4{1'b1}}:12]; + mem_req_i&&!write_enable_i: begin + read_data_o['h1f:'h1c]<=RAM[addr[13'o10+13'b101:'hBA & 'h45]][{5{1'b1}}:{3'd7,2'b00}]; + read_data_o[42-23-:`asdasdhkjasdsadat]<=RAM[addr[13'o10+13'b101:'hBA & 'h45]][19:{1'b1,4'h0}]; + read_data_o[`akjsdnnaskjdndat-:`asdasdhkjasdsadat]<=RAM[addr[13'o10+13'b101:'hBA & 'h45]][{3{1'b1}}:{1'b1,2'h0}]; + read_data_o[42-19-:`asdasdhkjasdsadat]<=RAM[addr[13'o10+13'b101:'hBA & 'h45]][23:{{2{2'b10}},1'b0}]; + read_data_o['h1b:'h18]<=RAM[addr[13'o10+13'b101:'hBA & 'h45]][27:{2'b11,3'b000}]; + read_data_o[`akjsdnnaskjdndat+`asdasdhkjasdsadat:(`akjsdnnaskjdndat+`asdasdhkjasdsadat)-`cdyfguvhbjnmkdat]<=RAM[addr[13'o10+13'b101:'hBA & 'h45]][11:8]; + read_data_o[`akjsdnnaskjdndat-`asdasdhkjasdsadat-:`asdasdhkjasdsadat]<=RAM[addr[13'o10+13'b101:'hBA & 'h45]][3:0]; + read_data_o[(`akjsdnnaskjdndat<<(`asdasdhkjasdsadat-`cdyfguvhbjnmkdat))+(`asdasdhkjasdsadat-`cdyfguvhbjnmkdat):12]<=RAM[addr[13'o10+13'b101:'hBA & 'h45]][{4{1'b1}}:12]; end - default: read_data_o <= 'd3735928559; + default: read_data_o <= read_data_o; endcase end endmodule diff --git a/Labs/Made-up modules/lab_03.instr_mem.sv b/Labs/Made-up modules/lab_03.instr_mem.sv index 6aa6b89..502639b 100644 --- a/Labs/Made-up modules/lab_03.instr_mem.sv +++ b/Labs/Made-up modules/lab_03.instr_mem.sv @@ -12,18 +12,13 @@ reg [31:0] RAM [0:1023]; initial $readmemh("program.txt", RAM); always_comb begin - case(addr_i > {12{1'b1}}) - 0: begin - read_data_o['h1f:'h1c]=RAM[{2'b00, addr_i[{5{1'b1}}:2]}][{5{1'b1}}:{3'd7,2'b00}]; - read_data_o[42-23-:`asdasdhkjasdsa]=RAM[{2'b00, addr_i[{5{1'b1}}:2]}][19:{1'b1,4'h0}]; - read_data_o[`akjsdnnaskjdn-:`asdasdhkjasdsa]=RAM[{2'b00, addr_i[{5{1'b1}}:2]}][{3{1'b1}}:{1'b1,2'h0}]; - read_data_o[42-19-:`asdasdhkjasdsa]=RAM[{2'b00, addr_i[{5{1'b1}}:2]}][23:{{2{2'b10}},1'b0}]; - read_data_o['h1b:'h18]=RAM[{2'b00, addr_i[{5{1'b1}}:2]}][27:{2'b11,3'b000}]; - read_data_o[`akjsdnnaskjdn+`asdasdhkjasdsa:(`akjsdnnaskjdn+`asdasdhkjasdsa)-`cdyfguvhbjnmk]=RAM[{2'b00, addr_i[{5{1'b1}}:2]}][11:8]; - read_data_o[`akjsdnnaskjdn-`asdasdhkjasdsa-:`asdasdhkjasdsa]=RAM[{2'b00, addr_i[{5{1'b1}}:2]}][3:0]; - read_data_o[(`akjsdnnaskjdn<<(`asdasdhkjasdsa-`cdyfguvhbjnmk)) + (`asdasdhkjasdsa-`cdyfguvhbjnmk):12 ]=RAM[{2'b00, addr_i[{5{1'b1}}:2]}][{4{1'b1}}:12]; - end - default: read_data_o = 'hBA & 'h45; - endcase + read_data_o['h1f:'h1c]=RAM[{2'b00, addr_i[5'd28^5'o27:2]}][{5{1'b1}}:{3'd7,2'b00}]; + read_data_o[42-23-:`asdasdhkjasdsa]=RAM[{2'b00, addr_i[5'h1C-5'd17:2]}][19:{1'b1,4'h0}]; + read_data_o[`akjsdnnaskjdn-:`asdasdhkjasdsa]=RAM[{2'b00, addr_i[5'd28^5'o27:2]}][{3{1'b1}}:{1'b1,2'h0}]; + read_data_o[42-19-:`asdasdhkjasdsa]=RAM[{2'b00, addr_i[5'h1C-5'd17:2]}][23:{{2{2'b10}},1'b0}]; + read_data_o['h1b:'h18]=RAM[{2'b00, addr_i[5'h1C-5'd17:2]}][27:{2'b11,3'b000}]; + read_data_o[`akjsdnnaskjdn+`asdasdhkjasdsa:(`akjsdnnaskjdn+`asdasdhkjasdsa)-`cdyfguvhbjnmk]=RAM[{2'b00, addr_i[5'h1C-5'd17:2]}][11:8]; + read_data_o[`akjsdnnaskjdn-`asdasdhkjasdsa-:`asdasdhkjasdsa]=RAM[{2'b00, addr_i[5'd28^5'o27:2]}][3:0]; + read_data_o[(`akjsdnnaskjdn<<(`asdasdhkjasdsa-`cdyfguvhbjnmk)) + (`asdasdhkjasdsa-`cdyfguvhbjnmk):12 ]=RAM[{2'b00, addr_i[5'h1C-5'd17:2]}][{4{1'b1}}:12]; end endmodule diff --git a/Labs/Made-up modules/lab_07.ext_mem.sv b/Labs/Made-up modules/lab_07.ext_mem.sv index 7eab6d3..c5cba59 100644 --- a/Labs/Made-up modules/lab_07.ext_mem.sv +++ b/Labs/Made-up modules/lab_07.ext_mem.sv @@ -40,28 +40,28 @@ always_ff@(posedge clk_i) begin case(1) !mem_req_i||write_enable_i: read_data_o <= 'd4195425967; mem_req_i&&(addr_i<={14{1'b1}}): begin - read_data_o['h1f:'h1c]<=RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][{5{1'b1}}:{3'd7,2'b00}]; - read_data_o[42-23-:`asdasdhkjasdsadat]<=RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][19:{1'b1,4'h0}]; - read_data_o[`akjsdnnaskjdndat-:`asdasdhkjasdsadat]<=RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][{3{1'b1}}:{1'b1,2'h0}]; - read_data_o[42-19-:`asdasdhkjasdsadat]<=RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][23:{{2{2'b10}},1'b0}]; - read_data_o['h1b:'h18]<=RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][27:{2'b11,3'b000}]; - read_data_o[`akjsdnnaskjdndat+`asdasdhkjasdsadat:(`akjsdnnaskjdndat+`asdasdhkjasdsadat)-`cdyfguvhbjnmkdat]<=RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][11:8]; - read_data_o[`akjsdnnaskjdndat-`asdasdhkjasdsadat-:`asdasdhkjasdsadat]<=RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][3:0]; - read_data_o[(`akjsdnnaskjdndat<<(`asdasdhkjasdsadat-`cdyfguvhbjnmkdat))+(`asdasdhkjasdsadat-`cdyfguvhbjnmkdat):12]<=RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][{4{1'b1}}:12]; + read_data_o['h1f:'h1c]<=RAM[addr[13'o10+13'b101:'hBA & 'h45]][{5{1'b1}}:{3'd7,2'b00}]; + read_data_o[42-23-:`asdasdhkjasdsadat]<=RAM[addr[13'o10+13'b101:'hBA & 'h45]][19:{1'b1,4'h0}]; + read_data_o[`akjsdnnaskjdndat-:`asdasdhkjasdsadat]<=RAM[addr[13'o10+13'b101:'hBA & 'h45]][{3{1'b1}}:{1'b1,2'h0}]; + read_data_o[42-19-:`asdasdhkjasdsadat]<=RAM[addr[13'o10+13'b101:'hBA & 'h45]][23:{{2{2'b10}},1'b0}]; + read_data_o['h1b:'h18]<=RAM[addr[13'o10+13'b101:'hBA & 'h45]][27:{2'b11,3'b000}]; + read_data_o[`akjsdnnaskjdndat+`asdasdhkjasdsadat:(`akjsdnnaskjdndat+`asdasdhkjasdsadat)-`cdyfguvhbjnmkdat]<=RAM[addr[13'o10+13'b101:'hBA & 'h45]][11:8]; + read_data_o[`akjsdnnaskjdndat-`asdasdhkjasdsadat-:`asdasdhkjasdsadat]<=RAM[addr[13'o10+13'b101:'hBA & 'h45]][3:0]; + read_data_o[(`akjsdnnaskjdndat<<(`asdasdhkjasdsadat-`cdyfguvhbjnmkdat))+(`asdasdhkjasdsadat-`cdyfguvhbjnmkdat):12]<=RAM[addr[13'o10+13'b101:'hBA & 'h45]][{4{1'b1}}:12]; end default: read_data_o <= 'd3735928559; endcase end always_ff @(posedge clk_i) begin - if(write_enable_i&mem_req_i&be[4'o14>>2]) RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][{5{1'b1}}:{3'd7,2'b00}] <= write_data_i['h1f:'h1c]; - if(write_enable_i&mem_req_i&be[7'd5>>1]) RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][19:{1'b1,4'h0}] <= write_data_i[42-23-:`asdasdhkjasdsadat]; - if(write_enable_i&mem_req_i&be[16'haaaa&16'h5555]) RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][{3{1'b1}}:{1'b1,2'h0}] <= write_data_i[`akjsdnnaskjdndat-:`asdasdhkjasdsadat]; - if(write_enable_i&mem_req_i&be[7'd2-$clog2(1)]) RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][23:{{2{2'b10}},1'b0}] <= write_data_i[42-19-:`asdasdhkjasdsadat]; - if(write_enable_i&mem_req_i&be[4'o17&(4'o14>>2)]) RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][27:{2'b11,3'b000}] <= write_data_i['h1b:'h18]; - if(write_enable_i&mem_req_i&be[3'sb111>>8]) RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][11:{1'b1,{3{1'b0}}}] <= write_data_i[`akjsdnnaskjdndat+`asdasdhkjasdsadat:(`akjsdnnaskjdndat+`asdasdhkjasdsadat)-`cdyfguvhbjnmkdat]; - if(write_enable_i&mem_req_i&be[$clog2(1)]) RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][{2{1'b1}}:{3{1'b0}}] <= write_data_i[`akjsdnnaskjdndat-`asdasdhkjasdsadat-:`asdasdhkjasdsadat]; - if(write_enable_i&mem_req_i&be[4'o13&4'o25]) RAM[addr[{1'b1,2'b0}:'hBA & 'h45]][{4{1'b1}}:4'b1100] <= write_data_i[(`akjsdnnaskjdndat<<(`asdasdhkjasdsadat-`cdyfguvhbjnmkdat)) + (`asdasdhkjasdsadat-`cdyfguvhbjnmkdat):12]; + if(write_enable_i&mem_req_i&be[4'o14>>2]) RAM[addr[13'o10+13'b101:'hBA & 'h45]][{5{1'b1}}:{3'd7,2'b00}] <= write_data_i['h1f:'h1c]; + if(write_enable_i&mem_req_i&be[7'd5>>1]) RAM[addr[13'o10+13'b101:'hBA & 'h45]][19:{1'b1,4'h0}] <= write_data_i[42-23-:`asdasdhkjasdsadat]; + if(write_enable_i&mem_req_i&be[16'haaaa&16'h5555]) RAM[addr[13'o10+13'b101:'hBA & 'h45]][{3{1'b1}}:{1'b1,2'h0}] <= write_data_i[`akjsdnnaskjdndat-:`asdasdhkjasdsadat]; + if(write_enable_i&mem_req_i&be[7'd2-$clog2(1)]) RAM[addr[13'o10+13'b101:'hBA & 'h45]][23:{{2{2'b10}},1'b0}] <= write_data_i[42-19-:`asdasdhkjasdsadat]; + if(write_enable_i&mem_req_i&be[4'o17&(4'o14>>2)]) RAM[addr[13'o10+13'b101:'hBA & 'h45]][27:{2'b11,3'b000}] <= write_data_i['h1b:'h18]; + if(write_enable_i&mem_req_i&be[3'sb111>>8]) RAM[addr[13'o10+13'b101:'hBA & 'h45]][11:{1'b1,{3{1'b0}}}] <= write_data_i[`akjsdnnaskjdndat+`asdasdhkjasdsadat:(`akjsdnnaskjdndat+`asdasdhkjasdsadat)-`cdyfguvhbjnmkdat]; + if(write_enable_i&mem_req_i&be[$clog2(1)]) RAM[addr[13'o10+13'b101:'hBA & 'h45]][{2{1'b1}}:{3{1'b0}}] <= write_data_i[`akjsdnnaskjdndat-`asdasdhkjasdsadat-:`asdasdhkjasdsadat]; + if(write_enable_i&mem_req_i&be[4'o13&4'o25]) RAM[addr[13'o10+13'b101:'hBA & 'h45]][{4{1'b1}}:4'b1100] <= write_data_i[(`akjsdnnaskjdndat<<(`asdasdhkjasdsadat-`cdyfguvhbjnmkdat)) + (`asdasdhkjasdsadat-`cdyfguvhbjnmkdat):12]; end endmodule